summaryrefslogtreecommitdiff
path: root/sci-electronics/nvc/metadata.xml
blob: 30ee43a4d80a0d8a48b6cc569c59829b3043a964 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
<?xml version="1.0" encoding="UTF-8"?>
<!DOCTYPE pkgmetadata SYSTEM "https://www.gentoo.org/dtd/metadata.dtd">

<pkgmetadata>
  <maintainer type="person">
    <email>xgqt@gentoo.org</email>
    <name>Maciej Barć</name>
  </maintainer>
  <longdescription>
    NVC is a VHDL compiler and simulator. NVC supports almost all of VHDL-2008
    with the exception of PSL, and it has been successfully used to simulate
    several real-world designs. Experimental support for VHDL-2019 is under
    development. NVC has a particular emphasis on simulation performance and
    uses LLVM to compile VHDL to native machine code. NVC is not a synthesizer.
    That is, it does not output something that could be used to program an FPGA
    or ASIC. It implements only the simulation behaviour of the language as
    described by the IEEE 1076 standard. NVC supports popular verification
    frameworks including OSVVM, UVVM, and VUnit.
  </longdescription>
  <use>
    <flag name="llvm">Build LLVM code generator</flag>
  </use>
  <upstream>
    <bugs-to>https://github.com/nickg/nvc/issues/</bugs-to>
    <remote-id type="github">nickg/nvc</remote-id>
    <remote-id type="sourcehut">~nickg/nvc</remote-id>
  </upstream>
</pkgmetadata>