summaryrefslogtreecommitdiff
path: root/sci-electronics/quartus-prime-lite
diff options
context:
space:
mode:
authorV3n3RiX <venerix@koprulu.sector>2021-12-05 02:47:11 +0000
committerV3n3RiX <venerix@koprulu.sector>2021-12-05 02:47:11 +0000
commit2771f79232c273bc2a57d23bf335dd81ccf6af28 (patch)
treec8af0fd04194aed03cf067d44e53c7edd3e9ab84 /sci-electronics/quartus-prime-lite
parente9d044d4b9b71200a96adfa280848858c0f468c9 (diff)
gentoo resync : 05.12.2021
Diffstat (limited to 'sci-electronics/quartus-prime-lite')
-rw-r--r--sci-electronics/quartus-prime-lite/Manifest7
-rw-r--r--sci-electronics/quartus-prime-lite/files/quartus-prime-lite-15.1.0.185-qenv-lib32.patch11
-rw-r--r--sci-electronics/quartus-prime-lite/files/quartus-prime-lite-15.1.0.185-vso-launcher.patch19
-rw-r--r--sci-electronics/quartus-prime-lite/metadata.xml8
-rw-r--r--sci-electronics/quartus-prime-lite/quartus-prime-lite-15.1.0.185-r2.ebuild48
-rw-r--r--sci-electronics/quartus-prime-lite/quartus-prime-lite-15.1.0.185-r3.ebuild76
6 files changed, 0 insertions, 169 deletions
diff --git a/sci-electronics/quartus-prime-lite/Manifest b/sci-electronics/quartus-prime-lite/Manifest
deleted file mode 100644
index f4635aed1e15..000000000000
--- a/sci-electronics/quartus-prime-lite/Manifest
+++ /dev/null
@@ -1,7 +0,0 @@
-AUX quartus-prime-lite-15.1.0.185-qenv-lib32.patch 483 BLAKE2B e08066d40ccec48e11a25e702a21360de691c680963d4b582074656d86ea5074676e8fb8e18f9b939664479f444e6eed2c5577b613ad91c9f8630c5972201d53 SHA512 8f2e5d7115a021ab370d74743206f333410a7f35f9bd8f7a468271f2df80facb23d6c2337fc6b425e880354197238571f9a9d9f35a33e2b9bb536c19c98a33c7
-AUX quartus-prime-lite-15.1.0.185-vso-launcher.patch 647 BLAKE2B 5e86aad1d455f4b02dc303ccbd29bd5c22054538c7f1ae78c049ab94ba9dbb8249eb836aa828e3280243066f3cff0e82aea0bfdb55555f33d09ee8aa042e7923 SHA512 19669bf8fd963eab8ab51188e867ed85ea5f0fb151fc82b158a2ab307c6b0ef56b0cd11441fb252b7f70b06d0f7bf8792e6857a62e7ffcd796b1d9d1a156c6e7
-DIST Quartus-lite-15.1.0.185-linux.tar 5969776640 BLAKE2B 622060e053a9d7a91fe9b4b61f6ebf1289f3849e666b20bca801f0bd752dac0f551c7aa2b8d16b6238b6df2b174f8d352bbc2e3a2a9e270735c5581dd81420f5 SHA512 7996bc9e0561b650c0318d608b4f59560dd631e3a38c403105f797049c395ce25a0ff5d4346cf6e91338fa297d7e8752ef5a3f83f496d4431ad3591de4335045
-DIST libfreetype.so.6 785880 BLAKE2B a9c724a213d6211e954c20ea203feeacd384768bf34929719326d2a98e166c91114f4a60b223efd770ccf356662c8664c91d4bf4722325c01d310a10e3026f30 SHA512 4b0ae581df3ecc0117d8b12405d7e5203d1ec40e965c39dade16c4b5495e95c71c2c5d739ccfec7c4c90dd2f48c004f4ae49497c46927adc81c98ead52978be1
-EBUILD quartus-prime-lite-15.1.0.185-r2.ebuild 1429 BLAKE2B 98661c6f52f2969b818be31bcf94f95517eff7573a36578030d5a9b29cd89d59beca982a280a356a98de34200e730d2f40ba17856806f7c01f73d3022e56b018 SHA512 8d0188932bdaca45574b31c792c0c8c281ae178b1bfc76f1366d221f972e0335e27f5b6d3b4262d08f8e403f304ec6c31815510b7695fce8ba4916ecd067893c
-EBUILD quartus-prime-lite-15.1.0.185-r3.ebuild 2719 BLAKE2B 74472c58fd58e32de7ab599a243b208b9dbbb6d757c53d446453253fdd04a103e217860c590ff14095039f01ac2f73cb25ea8ddd3ae383b29c9849a492b978cf SHA512 5ab93f8a6ec43185fe29bebfd933e14f55952069b932317faed7c855a4dda44ea0c232e7a481ac29d65b52e0e6c296cc68661faa26049f48cae296956259a132
-MISC metadata.xml 259 BLAKE2B 7e30ab0dfa75c170fea5b13380d5d2fb12c476ff3ea7e82dc562b2600f4ce6b4ab3d823e73e04b957c6a273182353a28c77042f42a383244c2210de596b8d5b8 SHA512 947547639fd7808de36e559d35ae44eba1f408e9814a529e31e8798536c23fa9198b69ac5aa216b8fc7938250838f90de702780d6d2f3c3e8379573927964a0e
diff --git a/sci-electronics/quartus-prime-lite/files/quartus-prime-lite-15.1.0.185-qenv-lib32.patch b/sci-electronics/quartus-prime-lite/files/quartus-prime-lite-15.1.0.185-qenv-lib32.patch
deleted file mode 100644
index 8e3f117e7959..000000000000
--- a/sci-electronics/quartus-prime-lite/files/quartus-prime-lite-15.1.0.185-qenv-lib32.patch
+++ /dev/null
@@ -1,11 +0,0 @@
---- /opt/quartus-lite-15.1.0.185/quartus/adm/qenv.sh.org 2016-03-01 18:51:38.192388962 +0800
-+++ /opt/quartus-lite-15.1.0.185/quartus/adm/qenv.sh 2016-02-25 09:22:28.580259994 +0800
-@@ -136,7 +136,7 @@
- ##### Quartus path setup
-
- export PATH=$QUARTUS_BINDIR:$PATH
--export LD_LIBRARY_PATH=$QUARTUS_BINDIR:$LD_LIBRARY_PATH
-+export LD_LIBRARY_PATH=$QUARTUS_BINDIR:$LD_LIBRARY_PATH:/opt/quartus-lite-15.1.0.185/modelsim_ase/lib32
-
-
- # Add <qdir>/adm to path, it has some helper tools
diff --git a/sci-electronics/quartus-prime-lite/files/quartus-prime-lite-15.1.0.185-vso-launcher.patch b/sci-electronics/quartus-prime-lite/files/quartus-prime-lite-15.1.0.185-vso-launcher.patch
deleted file mode 100644
index e152aed093cc..000000000000
--- a/sci-electronics/quartus-prime-lite/files/quartus-prime-lite-15.1.0.185-vso-launcher.patch
+++ /dev/null
@@ -1,19 +0,0 @@
---- /opt/quartus-lite-15.1.0.185/modelsim_ase/vco.org 2016-03-02 19:05:09.566449182 +0800
-+++ /opt/quartus-lite-15.1.0.185/modelsim_ase/vco 2016-03-02 19:06:19.020221005 +0800
-@@ -48,6 +48,7 @@
- done
-
- dir=`dirname "$arg0"`
-+export LD_LIBRARY_PATH=${dir}/lib32
-
- vco=${uname}${utype}
- case $vco in
-@@ -203,7 +204,7 @@
- 2.[5-9]*) vco="linux" ;;
- 2.[1-9][0-9]*) vco="linux" ;;
- 3.[0-9]*) vco="linux" ;;
-- *) vco="linux_rh60" ;;
-+ *) vco="linux" ;;
- esac
- if [ ! -x "$dir/$vco/vsim" ]; then
- if [ -x "$dir/linuxle/vsim" ]; then
diff --git a/sci-electronics/quartus-prime-lite/metadata.xml b/sci-electronics/quartus-prime-lite/metadata.xml
deleted file mode 100644
index b8d0419909b6..000000000000
--- a/sci-electronics/quartus-prime-lite/metadata.xml
+++ /dev/null
@@ -1,8 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<!DOCTYPE pkgmetadata SYSTEM "https://www.gentoo.org/dtd/metadata.dtd">
-<pkgmetadata>
- <!-- maintainer-needed -->
- <upstream>
- <remote-id type="github">brendanhoran/gentoo-custom</remote-id>
- </upstream>
-</pkgmetadata>
diff --git a/sci-electronics/quartus-prime-lite/quartus-prime-lite-15.1.0.185-r2.ebuild b/sci-electronics/quartus-prime-lite/quartus-prime-lite-15.1.0.185-r2.ebuild
deleted file mode 100644
index 82920e59203c..000000000000
--- a/sci-electronics/quartus-prime-lite/quartus-prime-lite-15.1.0.185-r2.ebuild
+++ /dev/null
@@ -1,48 +0,0 @@
-# Copyright 1999-2021 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=5
-
-inherit desktop
-
-DESCRIPTION="Full-featured EDA product for altera devices"
-HOMEPAGE="https://www.altera.com/products/design-software/fpga-design/quartus-prime/overview.html"
-SRC_URI="Quartus-lite-${PV}-linux.tar"
-# variable to store the download URL
-DOWNLOADPAGE="http://dl.altera.com/?edition=lite"
-
-LICENSE="Quartus-prime-megacore"
-SLOT="0"
-KEYWORDS="~amd64 ~x86"
-
-RDEPEND="media-libs/libpng-compat:1.2"
-
-RESTRICT="fetch bindist"
-
-S=${WORKDIR}
-
-pkg_nofetch() {
- elog "Due to licensing restrictions, you need to download the distfile manually."
- elog "Please navigate to ${DOWNLOADPAGE}"
- elog "Sign in with your Altera account (you need to register)"
- elog "Download ${SRC_URI} and place it into your DISTDIR directory"
- elog "And restart the installation."
-}
-
-pkg_pretend() {
- einfo "The checksum and unpack will take quite some time to run"
-}
-
-src_install() {
- einfo "The Quartus install runs in unattended mode"
- einfo "you wont see much and it will take a long time"
-
- ./setup.sh --mode unattended --unattendedmodeui none \
- --installdir "${D}/opt/quartus-lite-${PV}"
- make_desktop_entry "/opt/quartus-lite-${PV}/quartus/bin/quartus" \
- "Quartus lite ${PV}" "/opt/quartus-lite-${PV}/quartus/adm/quartusii.png"
-}
-
-pkg_postinst() {
- elog "To launch Quartus, run /opt/quartus-lite-${PV}/quartus/bin/quartus"
-}
diff --git a/sci-electronics/quartus-prime-lite/quartus-prime-lite-15.1.0.185-r3.ebuild b/sci-electronics/quartus-prime-lite/quartus-prime-lite-15.1.0.185-r3.ebuild
deleted file mode 100644
index 41d04df27654..000000000000
--- a/sci-electronics/quartus-prime-lite/quartus-prime-lite-15.1.0.185-r3.ebuild
+++ /dev/null
@@ -1,76 +0,0 @@
-# Copyright 1999-2021 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=6
-
-inherit desktop epatch
-
-DESCRIPTION="Full-featured EDA product for altera devices"
-HOMEPAGE="https://www.altera.com/products/design-software/fpga-design/quartus-prime/overview.html"
-SRC_URI="Quartus-lite-${PV}-linux.tar
- https://github.com/brendanhoran/gentoo-custom/raw/master/files/libfreetype.so.6"
-# variable to store the download URLS
-DOWNLOADPAGE="http://dl.altera.com/?edition=lite"
-DOWNLOADFT="https://raw.githubusercontent.com/brendanhoran/gentoo-custom/master/files/libfreetype.so.6"
-
-LICENSE="Quartus-prime-megacore"
-SLOT="0"
-
-# No keywords as per bug 575684
-KEYWORDS=""
-
-RDEPEND="media-libs/libpng-compat:1.2[abi_x86_32]
- x11-libs/libXft[abi_x86_32]
- app-arch/bzip2[abi_x86_32]
- sys-libs/zlib[abi_x86_32]
- sys-libs/glibc[multilib]"
-
-RESTRICT="fetch bindist"
-
-S=${WORKDIR}
-
-pkg_nofetch() {
- elog "Due to licensing restrictions, and old libary versions."
- elog "You need to download the distfiles manually."
- elog "-------------------------------------------------------"
- elog "For Quartus :"
- elog "Please navigate to ${DOWNLOADPAGE}"
- elog "Sign in with your Altera account (you need to register)"
- elog "Download Quartus-lite-${PV}-linux.tar and place it into your DISTDIR directory"
- elog "-------------------------------------------------------"
- elog "For Freetype libary :"
- elog "Please download the following file :"
- elog "${DOWNLOADFT}"
- elog "Please place this into your DISTDIR directory"
- elog "-------------------------------------------------------"
- elog "And restart the installation."
-}
-
-pkg_pretend() {
- einfo "The checksum and unpack will take quite some time to run"
-}
-
-src_install() {
- einfo "The Quartus install runs in unattended mode"
- einfo "you wont see much and it will take a long time"
-
- ./setup.sh --mode unattended --unattendedmodeui none \
- --installdir "${D}/opt/quartus-lite-${PV}" || die
- make_desktop_entry "/opt/quartus-lite-${PV}/quartus/bin/quartus" \
- "Quartus lite ${PV}" "/opt/quartus-lite-${PV}/quartus/adm/quartusii.png"
- pushd "${D}"
- # Patch qenv to find extra 32bit libs
- epatch "${FILESDIR}/${P}-qenv-lib32.patch"
- # fix vso launcher for 32bit libs and linux kernel versions
- epatch "${FILESDIR}/${P}-vso-launcher.patch"
- dodir "opt/quartus-lite-${PV}/modelsim_ase/lib32"
- insinto "opt/quartus-lite-${PV}/modelsim_ase/lib32"
- # Copy over the old freetype lib
- doins "${PORTAGE_BUILDDIR}/distdir/libfreetype.so.6"
-}
-
-pkg_postinst() {
- elog "To launch Quartus, run /opt/quartus-lite-${PV}/quartus/bin/quartus"
- ewarn "This ebuild bundles a vulnerable and old freetype library"
- ewarn "Sadly this is needed for vsim. You have been warned"
-}