summaryrefslogtreecommitdiff
path: root/sci-electronics
diff options
context:
space:
mode:
Diffstat (limited to 'sci-electronics')
-rw-r--r--sci-electronics/Manifest.gzbin8870 -> 8720 bytes
-rw-r--r--sci-electronics/gspiceui/Manifest9
-rw-r--r--sci-electronics/gspiceui/files/gspiceui-1.1.0-flags.patch26
-rw-r--r--sci-electronics/gspiceui/files/gspiceui-1.3.30_pre20240321-respect-users-flags.patch50
-rw-r--r--sci-electronics/gspiceui/gspiceui-1.1.0.ebuild85
-rw-r--r--sci-electronics/gspiceui/gspiceui-1.3.30_pre20240321.ebuild (renamed from sci-electronics/gspiceui/gspiceui-1.2.87-r1.ebuild)28
-rw-r--r--sci-electronics/gspiceui/metadata.xml4
-rw-r--r--sci-electronics/kicad-footprints/Manifest8
-rw-r--r--sci-electronics/kicad-footprints/kicad-footprints-7.0.7.ebuild28
-rw-r--r--sci-electronics/kicad-footprints/kicad-footprints-8.0.0.ebuild28
-rw-r--r--sci-electronics/kicad-footprints/kicad-footprints-8.0.1.ebuild28
-rw-r--r--sci-electronics/kicad-footprints/kicad-footprints-8.0.2.ebuild28
-rw-r--r--sci-electronics/kicad-meta/Manifest4
-rw-r--r--sci-electronics/kicad-meta/kicad-meta-7.0.7.ebuild27
-rw-r--r--sci-electronics/kicad-meta/kicad-meta-8.0.0.ebuild27
-rw-r--r--sci-electronics/kicad-meta/kicad-meta-8.0.1.ebuild27
-rw-r--r--sci-electronics/kicad-meta/kicad-meta-8.0.2.ebuild27
-rw-r--r--sci-electronics/kicad-packages3d/Manifest8
-rw-r--r--sci-electronics/kicad-packages3d/kicad-packages3d-7.0.7.ebuild31
-rw-r--r--sci-electronics/kicad-packages3d/kicad-packages3d-8.0.0.ebuild31
-rw-r--r--sci-electronics/kicad-packages3d/kicad-packages3d-8.0.1.ebuild31
-rw-r--r--sci-electronics/kicad-packages3d/kicad-packages3d-8.0.2.ebuild31
-rw-r--r--sci-electronics/kicad-symbols/Manifest8
-rw-r--r--sci-electronics/kicad-symbols/kicad-symbols-7.0.7.ebuild28
-rw-r--r--sci-electronics/kicad-symbols/kicad-symbols-8.0.0.ebuild28
-rw-r--r--sci-electronics/kicad-symbols/kicad-symbols-8.0.1.ebuild28
-rw-r--r--sci-electronics/kicad-symbols/kicad-symbols-8.0.2.ebuild28
-rw-r--r--sci-electronics/kicad-templates/Manifest8
-rw-r--r--sci-electronics/kicad-templates/kicad-templates-7.0.7.ebuild22
-rw-r--r--sci-electronics/kicad-templates/kicad-templates-8.0.0.ebuild22
-rw-r--r--sci-electronics/kicad-templates/kicad-templates-8.0.1.ebuild22
-rw-r--r--sci-electronics/kicad-templates/kicad-templates-8.0.2.ebuild22
-rw-r--r--sci-electronics/kicad/Manifest8
-rw-r--r--sci-electronics/kicad/kicad-7.0.7.ebuild174
-rw-r--r--sci-electronics/kicad/kicad-8.0.0.ebuild181
-rw-r--r--sci-electronics/kicad/kicad-8.0.1.ebuild181
-rw-r--r--sci-electronics/kicad/kicad-8.0.2-r1.ebuild180
-rw-r--r--sci-electronics/magic/Manifest8
-rw-r--r--sci-electronics/magic/files/magic-8.3.232-verbose-build.patch35
-rw-r--r--sci-electronics/magic/magic-8.3.232-r1.ebuild84
-rw-r--r--sci-electronics/magic/magic-8.3.309-r2.ebuild92
-rw-r--r--sci-electronics/magic/magic-8.3.309-r3.ebuild96
-rw-r--r--sci-electronics/magic/magic-8.3.365.ebuild4
-rw-r--r--sci-electronics/nvc/Manifest8
-rw-r--r--sci-electronics/nvc/nvc-1.13.0.ebuild (renamed from sci-electronics/nvc/nvc-1.12.1.ebuild)0
-rw-r--r--sci-electronics/nvc/nvc-1.13.1.ebuild (renamed from sci-electronics/nvc/nvc-1.12.2.ebuild)10
-rw-r--r--sci-electronics/qelectrotech/Manifest2
-rw-r--r--sci-electronics/qelectrotech/qelectrotech-0.80.ebuild2
-rw-r--r--sci-electronics/vbs/Manifest6
-rw-r--r--sci-electronics/vbs/files/vbs-1.4.0-const_cast.patch11
-rw-r--r--sci-electronics/vbs/files/vbs-1.4.0-gcc-4.1.patch105
-rw-r--r--sci-electronics/vbs/files/vbs-1.4.0-gcc-4.3.patch30
-rw-r--r--sci-electronics/vbs/metadata.xml13
-rw-r--r--sci-electronics/vbs/vbs-1.4.0.ebuild40
54 files changed, 81 insertions, 1971 deletions
diff --git a/sci-electronics/Manifest.gz b/sci-electronics/Manifest.gz
index 7b18b5bd7d8b..7646644f835e 100644
--- a/sci-electronics/Manifest.gz
+++ b/sci-electronics/Manifest.gz
Binary files differ
diff --git a/sci-electronics/gspiceui/Manifest b/sci-electronics/gspiceui/Manifest
index 82521fef9162..d08506054b60 100644
--- a/sci-electronics/gspiceui/Manifest
+++ b/sci-electronics/gspiceui/Manifest
@@ -1,8 +1,7 @@
-AUX gspiceui-1.1.0-flags.patch 1017 BLAKE2B c240c7d48a50b508b1f4426ab636909856946ce1159c535f0d682994b929faea2be254a39d4ce8f7bedcdc92ff39a8e7af2e29bba11dc8a95e6e71177c47e5e5 SHA512 bdca1e2bbbabf15f02002aab284cf44368e5bb86f9a479ea1194522c5a3e2fa02950795f0dcbc69dd943ec5ade34f134913d643ad13aac6eeb3330e1502e8ab4
AUX gspiceui-1.2.87-respect-users-flags.patch 2257 BLAKE2B 54d18a89166b45fc121fc6f62cb346ef7cb8a6ae7ff5b5835a10aa2de4134ccb872c2cfc988a45636d54622912af9a1b9762b6964f2daf3730592b982cdff9a5 SHA512 a03dd8f0f150fca14f8fe26155920fc3da535c8313b12b5ff8746cc61a1fed765f86ce012e9e6639eb77b1a854acc76c4b0f80fe224cefbd9e3df97c72143412
-DIST gspiceui-v1.1.00.tar.gz 1048236 BLAKE2B cc3d14137517cd26687dabac7e001e7518fee91aedc74f1995ac763873345f2965c3edb4e037ecb6ac8ca874289b2e658fe3185d95b3eeaf2b349efab151f37b SHA512 46ccf3dd7a5dd9ec1cac1eb742187ecab15a6c0033467d52a44c916e239696faf7b8797e1a155191cf0ed37b04619b0666cff51e78912a06ecd2c63d377cba93
+AUX gspiceui-1.3.30_pre20240321-respect-users-flags.patch 1767 BLAKE2B c90b5b3ff1a353ee533c3525c3d1a76d3592c05c942eb42e8a52f15c36a3c5d395cef4f8bc91c3756f3567ff27cd2067a4776249cbfad62bdc21e36cacf6a108 SHA512 3b08f4c0cf9a82f4a006c18e5d82660fc83cd14e9bf6080c3c259c66efec0e810396d3977c36895ad0b4aa636f68e32ba440af0b426510a0286cd4f76caba0c2
+DIST gspiceui-1.3.30_pre20240321.zip 80103549 BLAKE2B 5cdbd2338dd057d73a01572e75a7af145bca99f3e9339d259ae3615076e26a5c1614eecdd1e32cd669ecdd2a5cc1e3934dc3d3f1b36980c7a2eed7c6c15e1bb6 SHA512 736f7eafbaa26c3d9b3fa0df1ae5071adf21c0716519a99a0b9d199aa10a0dd402273de51d2e16b07be4c145ab2d34e133c515a8cfbb44068aa46cdc36a20658
DIST gspiceui-v1.2.87.tar.gz 1089733 BLAKE2B c1801bfebd5d42fe9c1ab26e6fd0cb06b56cac53bbad272522896325e5fd979e6fcc63d6f99262b152180f249b5b2d4aa119aa83254a2fc07256574c9ebaef10 SHA512 081d04c39f4fb96139f12fa063dfb888e036948a00a6b55de3b04a49b2b4af01b45fd9b7f903855d798a71957452550dfa8c8505c167c239e27ada29cb6fa747
-EBUILD gspiceui-1.1.0.ebuild 1906 BLAKE2B 8c60a04e63a37cf4f1ecbfee9b664eb93eb0390508116f349718ce54a36b74e3e4716f76e50f0a790ad426afeb3af7a2f5869043963d0e4c80c5593a4c924c1c SHA512 008ff5aecb2d5ea9436ff1cafb4f03884d8013a5a9368d6c8e3a7634cdc5b62b91c456bd97d5084cd137b93f3fe770c982c7c9298036a9ad24dc2aebfee9b1e3
-EBUILD gspiceui-1.2.87-r1.ebuild 1911 BLAKE2B 32c5cc249246ec16aacf73b99a9197c242ff4781d31761265ddb5e47de968435ebab5a69e8c4a9f3c66feb4c773f8d4247ceb63ff7782199a8d0731b7a304d8b SHA512 296ffa6acc62634a831cd230b6f039d459adfa392f1422aa4674377f403d62dbd67234306484ad06903d33775fbf5b7b40381361f444f455606b9138505bd355
EBUILD gspiceui-1.2.87.ebuild 1850 BLAKE2B 4660fbbcc57f6bd64c0606ff5913d0c11b951fe78f1c6e32cbda454f93da9867328d338afe8a607f9fa85c930185e5b214ba1aaca4bb034037e0b87c4d2ffce5 SHA512 6fad8fc4ceaf09e4e640c13ddcecae215b25af45e1455022b648073bb0129db463e3c5ebbd6b7e6d75e3d672ccdd1bdc7eabeafbd16e61f143ecadfb29b0899e
-MISC metadata.xml 560 BLAKE2B 7bf55c85b52ee2e7b7627cddf9fed3aef875057b32348cc770ae4f1765e415f24184769ddf4c308b107f2d3d87a53f0bec0aa0e7b67932536a669a6e1e447f0d SHA512 6700697e40e911690b27fdf068d3cb15c0b03c59e8af0258459109d27a6e709911f60fd555d59ce8b02143f36d1d462e1e6f336a8573e45537c6a6a6c3d4b67d
+EBUILD gspiceui-1.3.30_pre20240321.ebuild 2005 BLAKE2B f36b5965c0ccf84141f581f0be441363f75f1155ced1e3030b68b2093c7f473b0509cc77acb36c16fb58935efc1ff8367f81d27f24bfc71f997bd4397b5e8e09 SHA512 b75965c531d35e033b6179532efeff8492d56069068289d3f134d03c7e08831321e65b949ebaecdb3f3016ded137e2a110876dbc6aa7ed48a4c6269f2b6e5862
+MISC metadata.xml 358 BLAKE2B be14ad7e71ebdbd22ef7025a9b86076338602962439bf592c1af8a6d87bb74ccf0e3097b558e5a38fbbb0b03c00da8a8d26673b2078ff7724e014ceb5febac1b SHA512 1febab64f8bd13fe6614c7d2ef62d2a1bf0b596ba0964af82c58db83afa3e9cdd034e211664586c1dafbeb4f98e7dd1893614da9ed2f22a3ec4d82250d4dfc9a
diff --git a/sci-electronics/gspiceui/files/gspiceui-1.1.0-flags.patch b/sci-electronics/gspiceui/files/gspiceui-1.1.0-flags.patch
deleted file mode 100644
index b6c3d36a544e..000000000000
--- a/sci-electronics/gspiceui/files/gspiceui-1.1.0-flags.patch
+++ /dev/null
@@ -1,26 +0,0 @@
---- a/src/Makefile
-+++ b/src/Makefile
-@@ -69,13 +69,7 @@
- # -Ofast Optimize till it hurts : "-O3" + enable opts not valid for all standard-compliants
- # -Os Optimize for size
- # -Og Optimize debugging experience but don't break debugging
--ifeq ($(GSPICEUI_DBG),0)
-- # Options for release (not using -Wall since it's GCC specific)
-- CXXFLAGS := -O1 -pipe $(shell $(WXCFG) --cxxflags)
--else
-- # Options for development
-- CXXFLAGS := -g -Og -Wall -Wextra -pipe $(shell $(WXCFG) --cxxflags)
--endif
-+ CXXFLAGS += $(shell $(WXCFG) --cxxflags)
-
- # The following suppresses spurious warnings from gcc with wxWidgets v2.8.12
- ifeq ($(GSPICEUI_WXLIB),2.8)
-@@ -134,7 +128,7 @@
- # -o specify the output file name
-
- $(BINDIR)/$(PROG) : $(OBJS)
-- $(CXX) -pipe -o $(BINDIR)/$(PROG) obj/*.o $(LIBS)
-+ $(CXX) -pipe -o $(BINDIR)/$(PROG) obj/*.o $(LDFLAGS) $(LIBS)
- ifeq ($(ROOT)/GSpiceUI.app,$(wildcard $(ROOT)/GSpiceUI.app))
- cp $(BINDIR)/$(PROG) $(ROOT)/GSpiceUI.app/Contents/MacOS/gspiceui
- endif
diff --git a/sci-electronics/gspiceui/files/gspiceui-1.3.30_pre20240321-respect-users-flags.patch b/sci-electronics/gspiceui/files/gspiceui-1.3.30_pre20240321-respect-users-flags.patch
new file mode 100644
index 000000000000..531491829bd3
--- /dev/null
+++ b/sci-electronics/gspiceui/files/gspiceui-1.3.30_pre20240321-respect-users-flags.patch
@@ -0,0 +1,50 @@
+--- a/src/Makefile.old 2024-06-21 11:25:45.990728109 +0200
++++ b/src/Makefile 2024-06-21 11:33:20.289571247 +0200
+@@ -46,8 +46,8 @@
+ #***************************************************************************************************
+
+ # Which compiler and linker (eg. g++ or clang++)
+-CXX = g++
+-LD = g++
++CXX ?= g++
++LD ?= g++
+ ifneq ($(GSPICEUI_MSWIN),0)
+ LD += -static-libstdc++ -static-libgcc
+ WINDRES = windres
+@@ -108,17 +108,7 @@
+ # -fabi-version=N Use version N of the C++ ABI (this choice must match the wxWidgets library)
+
+ CXXFLAGS := -std=c++17 -fabi-version=14
+-ifeq ($(GSPICEUI_DEBUG),0)
+- # Options for release (not using -Wall since it's GCC specific)
+- CXXFLAGS += -O3
+-else
+- # Options for development
+- CXXFLAGS += -g3 -O0 -Wall -Wextra -Wpedantic
+-
+- # The following warning has been disabled because I don't know how to fix it
+- CXXFLAGS += -Wno-overloaded-virtual
+-endif
+-CXXFLAGS += -pipe $(shell $(WXCFG) --cxxflags)
++CXXFLAGS += $(shell $(WXCFG) --cxxflags)
+
+ # I like to compile using the option "-Wall" etc. however tests that break wxWidgets are turned off
+ ifneq ($(GSPICEUI_DEBUG),0)
+@@ -231,7 +221,7 @@
+ # -o specify the output file name
+
+ $(BINDIR)/$(PROG) : $(OBJS)
+- $(LD) -pipe -o $(BINDIR)/$(PROG) obj/*.o $(LIBS)
++ $(CXX) -o $(BINDIR)/$(PROG) obj/*.o $(LDFLAGS) $(LIBS)
+ ifeq ($(ROOT)/GSpiceUI.app,$(wildcard $(ROOT)/GSpiceUI.app))
+ cp $(BINDIR)/$(PROG) $(ROOT)/GSpiceUI.app/Contents/MacOS/gspiceui
+ endif
+@@ -296,7 +286,7 @@
+
+ # Compiler options
+
+-test_% : CXXFLAGS = -Wall -g -pipe $(shell $(WXCFG) --cxxflags)
++test_% : CXXFLAGS += $(shell $(WXCFG) --cxxflags)
+ test_% : CXXFLAGS += -D $(shell echo $@ | tr "[:lower:]" "[:upper:]")
+ # Libraries
+ test_% : LIBS = $(shell $(WXCFG) --libs core,base)
diff --git a/sci-electronics/gspiceui/gspiceui-1.1.0.ebuild b/sci-electronics/gspiceui/gspiceui-1.1.0.ebuild
deleted file mode 100644
index d16a8b170d38..000000000000
--- a/sci-electronics/gspiceui/gspiceui-1.1.0.ebuild
+++ /dev/null
@@ -1,85 +0,0 @@
-# Copyright 1999-2024 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=7
-
-WX_GTK_VER="3.0"
-inherit desktop flag-o-matic toolchain-funcs wxwidgets
-
-MY_P="${PN}-v${PV}0"
-
-DESCRIPTION="GUI frontend for Ngspice and Gnucap"
-HOMEPAGE="https://sourceforge.net/projects/gspiceui/"
-SRC_URI="https://downloads.sourceforge.net/${PN}/${MY_P}.tar.gz"
-S="${WORKDIR}/${MY_P}"
-
-LICENSE="GPL-3"
-SLOT="0"
-KEYWORDS="amd64 ~x86"
-IUSE="examples schematics waveform"
-
-DEPEND="
- x11-libs/wxGTK:${WX_GTK_VER}[X]
- sci-electronics/electronics-menu"
-RDEPEND="
- ${DEPEND}
- || (
- sci-electronics/ngspice
- sci-electronics/gnucap
- )
- waveform? ( sci-electronics/gwave )
- schematics? ( sci-electronics/geda )"
-
-PATCHES=(
- # Use Gentoo LDFLAGS and CXXFLAGS
- "${FILESDIR}"/${P}-flags.patch
-)
-
-src_prepare() {
- default
-
- # Adjusting the doc path at src/main/HelpTasks.cpp
- sed -i -e \
- "s:/share/gspiceui/html/User-Manual.html:/share/doc/${PF}/html/User-Manual.html:g" \
- src/main/HelpTasks.cpp || die
-
- # Adjusting call to gwave program
- sed -i -e "s/gwave2/gwave/g" src/TypeDefs.hpp || die
-}
-
-src_configure() {
- setup-wxwidgets
-
- # bug 553968
- replace-flags -O? -O1
-
- default
-}
-
-src_compile() {
- emake CXX="$(tc-getCXX)"
-}
-
-src_install() {
- dobin bin/gspiceui
-
- HTML_DOCS=( html/*.html html/*.jpg html/*.png )
- einstalldocs
- dodoc ChangeLog ReadMe ToDo release-notes-v1.1.00.txt
- doman gspiceui.1
-
- # installing examples and according model and symbol files
- use examples && dodoc -r lib sch
-
- newicon src/icons/gspiceui-48x48.xpm gspiceui.xpm
- make_desktop_entry gspiceui "GNU Spice GUI" gspiceui "Electronics"
-}
-
-pkg_postinst() {
- if use examples ; then
- elog "If you want to use the examples, copy and extract from"
- elog "${EROOT}/usr/share/doc/${PF} the sch and lib directory"
- elog "side by side to your home directory to be able"
- elog "to generate the netlists as normal user."
- fi
-}
diff --git a/sci-electronics/gspiceui/gspiceui-1.2.87-r1.ebuild b/sci-electronics/gspiceui/gspiceui-1.3.30_pre20240321.ebuild
index 9247c5e0c84a..9b8cb5aa5a98 100644
--- a/sci-electronics/gspiceui/gspiceui-1.2.87-r1.ebuild
+++ b/sci-electronics/gspiceui/gspiceui-1.3.30_pre20240321.ebuild
@@ -6,12 +6,14 @@ EAPI=8
WX_GTK_VER="3.2-gtk3"
inherit desktop optfeature wxwidgets xdg
-MY_P="${PN}-v${PV}"
-
DESCRIPTION="GUI frontend for Ngspice and Gnucap"
HOMEPAGE="https://sourceforge.net/projects/gspiceui/"
-SRC_URI="https://downloads.sourceforge.net/${PN}/${MY_P}.tar.gz"
-S="${WORKDIR}/${MY_P}"
+#SRC_URI="https://downloads.sourceforge.net/${PN}/${MY_P}.tar.gz"
+MY_REV="382"
+# SF source is temporal
+#SRC_URI="https://sourceforge.net/code-snapshots/svn/g/gs/${PN}/code/${PN}-code-r${MY_REV}-trunk.zip -> ${P}.zip"
+SRC_URI="https://dev.gentoo.org/~pacho/${PN}/${PN}-code-r${MY_REV}-trunk.zip -> ${P}.zip"
+S="${WORKDIR}/${PN}-code-r${MY_REV}-trunk"
LICENSE="GPL-3"
SLOT="0"
@@ -29,29 +31,23 @@ RDEPEND="
sci-electronics/gnucap
)
"
+BDEPEND="app-arch/unzip"
PATCHES=(
# Use Gentoo LDFLAGS and CXXFLAGS
+ # https://sourceforge.net/p/gspiceui/bugs/30/
"${FILESDIR}"/${P}-respect-users-flags.patch
)
-src_prepare() {
- default
-
- # Adjusting the doc path at src/main/FrmHtmlVwr.cpp
- sed -i -e \
- "s:/share/gspiceui/html/User-Manual.html:/share/doc/${PF}/html/User-Manual.html:g" \
- src/main/FrmHtmlVwr.cpp || die
-}
-
src_configure() {
setup-wxwidgets
default
}
src_compile() {
- # GSPICEUI_WXLIB=3.0 also works for 3.2
- emake GSPICEUI_WXLIB=3.0
+ export HOME="${T}"
+ mkdir -p "${T}/.config"
+ emake GSPICEUI_WXLIB=3.2 GSPICEUI_DEBUG=0
}
src_install() {
@@ -59,7 +55,7 @@ src_install() {
einstalldocs
dodoc html/*.html html/*.jpg html/*.png
- dodoc ChangeLog ReadMe ToDo release-notes-v${PV}.txt
+ dodoc ChangeLog ReadMe ToDo
doman gspiceui.1
# installing examples and according model and symbol files
diff --git a/sci-electronics/gspiceui/metadata.xml b/sci-electronics/gspiceui/metadata.xml
index c0d060cb2ffc..b4f5b4f99af0 100644
--- a/sci-electronics/gspiceui/metadata.xml
+++ b/sci-electronics/gspiceui/metadata.xml
@@ -5,10 +5,6 @@
<email>sci-electronics@gentoo.org</email>
<name>Gentoo Electronics Project</name>
</maintainer>
- <use>
- <flag name="schematics">Use <pkg>sci-electronics/geda</pkg> for schematics editing</flag>
- <flag name="waveform">Use <pkg>sci-electronics/gwave</pkg> for waveform display</flag>
- </use>
<upstream>
<remote-id type="sourceforge">gspiceui</remote-id>
</upstream>
diff --git a/sci-electronics/kicad-footprints/Manifest b/sci-electronics/kicad-footprints/Manifest
index f12ebbbda7b3..32acd4ab82d4 100644
--- a/sci-electronics/kicad-footprints/Manifest
+++ b/sci-electronics/kicad-footprints/Manifest
@@ -1,16 +1,8 @@
DIST kicad-footprints-7.0.10.tar.bz2 24478270 BLAKE2B dd12467ba7bad3f0ab44164ea4ef6502f44143ec66173d5dadba62ab60559c0e75c4f77d00436ba2f7e1f0b527ae94cd0954fdd568031d049fbb69de56f13c0c SHA512 23fe7018f0f7328dc3d55e9f206d7ee4f4734be768d7024291b9d22c0134f1b887be5baf74fd601454e89a9a282aa9c0ad0ff631f5d29ec2ccac1c30b4dc1b66
DIST kicad-footprints-7.0.11.tar.bz2 22722611 BLAKE2B f081eee0d8d2f9c691d7326dbc0a2edd329e027ae6602927f87e2e3c645fed1ba008e634a9043710ce552a94b01c28ac88461b83b97b26c225ad901af8535f21 SHA512 0786c08674432d797c7f98a05917ba22cfff4353e7b0e9007d61078cf6f5fc8ddf832e1a1e4d74788c9bf51fe9280ceae2c6051fce2253b5ba31b0a0f74d4511
-DIST kicad-footprints-7.0.7.tar.bz2 24411508 BLAKE2B 1abedfbc3dfab302b5f929b01f2a83e83a4fee6b1d5056bf2c3579068c56807c656dea918f4c37fe030b727d3effbc35063f4f4103c4cfa6071f95c22d507597 SHA512 8af1fb371034bd5b22e0a8a896fa61e4eb0bda5b282759e17872bf4b466f49b39aa56ae068ac3d7d5a7453d9a7d511a81317d3f267a90d2764b2b8282f64aef0
-DIST kicad-footprints-8.0.0.tar.bz2 26563192 BLAKE2B a575c3f7dc00ab14e6b7b9a52b56dfaa08c87aa4d53528fd59bfba3c5adf78e8ae98377cc3087db3dc6ae677046388f011062930ae07c427533b7254b99cbddd SHA512 6ad9863f3469523d48f5daf9b999177b0d6f43c347a390f3f55fcb8700f016c84c3513b1ee3e6f95112b1cadc3f7ee1c6c6f74b44d6b27aaa19f860d79e7e7de
-DIST kicad-footprints-8.0.1.tar.bz2 26591094 BLAKE2B 2df726033e818cfc1abd4fc71c15d3ab63c70d02708f2affe2ec1acc0b7445ec8dca4b4efabff06345df05ba11d6ddc7eb4f60c37c85fb641631f08890958b0a SHA512 940ce1208f6c1b50bdaf28027f66a9aa690855631b5b2bf445c1b277c75ecd46f68c91ef6fc221d69f54d00e42c0a7a56c27daafb83dfb5cc4d486cfa29216bd
-DIST kicad-footprints-8.0.2.tar.bz2 26517366 BLAKE2B d65b1c64f9c009ad8d232198544e351949137d567a4ed77bad6ed46e2e4eefd2dad8437ed8c11992fde4657be25d93e9f3fc69f50be846df480cf393f2dfb6d3 SHA512 b194aa4396209f248d21e089078be17844182275c252b4a9d8a013860d91983589a835c89f7d64e83bbd90ba9dc4ea0254377a04a3ce8b3313400c105e6febd5
DIST kicad-footprints-8.0.3.tar.bz2 26585619 BLAKE2B ee9383770b4ebcab5cbf7576c71d71694c05760525267167923c3f8dd4d075c87d13402190455f9e7134815ea36ae8ca0fd84b51317f31120e816950cf42db15 SHA512 f61e56a4519708da19f9850f3102a0efbf73ebf276f821c1fc9f57d88f01ac7454002a32b8da7912bdfa2a4c5264254fad5d579329ea5eaf147ef2aade23ecc6
EBUILD kicad-footprints-7.0.10.ebuild 716 BLAKE2B 2157d1723135e88f3682ebb8df01f9b062c395e265dc04fe3d5fde6a7e5522a62bca63576980e2afc0afff38f706966ed4c59f8d6b5e9684b46615d813c00909 SHA512 c0f081bbee993393d9ce3877b1d1b1d00371278fc9f1390b19a7e3a2bda45e7616ee801b8b583ccbc947466ba6fe5dffe9a5b287f18cdfdf9485018660996a14
EBUILD kicad-footprints-7.0.11.ebuild 717 BLAKE2B 7920bbe3e96b81475a4a1e69d9675862ea5f5919467f55be0864d8cf6df648bfaf224d9acf7ae6dcce109b9f7689b91f60bb9437411b740b7f0dd8561f504fb5 SHA512 9a0a3145632dbddcdeb13dc611c6c1d68df64e590e38720db1a0966c9f0d87257d92d84063fd318ec58453a48f89ecf1db947bd80930b8a4357259f4f19548f6
-EBUILD kicad-footprints-7.0.7.ebuild 716 BLAKE2B def936de8b36688691c9e9fee1169b09be9da0eeade1c3e8808f867e9cad2ddf20a800d048baeb5803ffcd88230315c5ef7f1b7f603e9e16a9702f8b947917cd SHA512 f81ef9b9c0fc06d1e435f930d3ac3d9bc1aa9dcb87c08d91c3cf62e7383dc962ea4b7b602ca6ef0f803cac82b34eaba03eb94a6c3035e66942dd7f49541daa1d
-EBUILD kicad-footprints-8.0.0.ebuild 717 BLAKE2B cb40b244d8f158316a7a9a19d869a2f9e6099bfa9ab19e31849782c4e559671ef1d8ccb193d1cda741741833d671e1d8c33868b9d7345c45d88aa6ac6e3e5ca4 SHA512 c8a4a58a97177edf1ee9c110d1c30c03414188be6e62d0abab43a3b263ef538b2f7723a12208470c91c2664936d95ac127cce3a34561b4cd4beb69ca8a578614
-EBUILD kicad-footprints-8.0.1.ebuild 717 BLAKE2B cb40b244d8f158316a7a9a19d869a2f9e6099bfa9ab19e31849782c4e559671ef1d8ccb193d1cda741741833d671e1d8c33868b9d7345c45d88aa6ac6e3e5ca4 SHA512 c8a4a58a97177edf1ee9c110d1c30c03414188be6e62d0abab43a3b263ef538b2f7723a12208470c91c2664936d95ac127cce3a34561b4cd4beb69ca8a578614
-EBUILD kicad-footprints-8.0.2.ebuild 717 BLAKE2B cb40b244d8f158316a7a9a19d869a2f9e6099bfa9ab19e31849782c4e559671ef1d8ccb193d1cda741741833d671e1d8c33868b9d7345c45d88aa6ac6e3e5ca4 SHA512 c8a4a58a97177edf1ee9c110d1c30c03414188be6e62d0abab43a3b263ef538b2f7723a12208470c91c2664936d95ac127cce3a34561b4cd4beb69ca8a578614
EBUILD kicad-footprints-8.0.3.ebuild 717 BLAKE2B cb40b244d8f158316a7a9a19d869a2f9e6099bfa9ab19e31849782c4e559671ef1d8ccb193d1cda741741833d671e1d8c33868b9d7345c45d88aa6ac6e3e5ca4 SHA512 c8a4a58a97177edf1ee9c110d1c30c03414188be6e62d0abab43a3b263ef538b2f7723a12208470c91c2664936d95ac127cce3a34561b4cd4beb69ca8a578614
EBUILD kicad-footprints-9999.ebuild 717 BLAKE2B cb40b244d8f158316a7a9a19d869a2f9e6099bfa9ab19e31849782c4e559671ef1d8ccb193d1cda741741833d671e1d8c33868b9d7345c45d88aa6ac6e3e5ca4 SHA512 c8a4a58a97177edf1ee9c110d1c30c03414188be6e62d0abab43a3b263ef538b2f7723a12208470c91c2664936d95ac127cce3a34561b4cd4beb69ca8a578614
MISC metadata.xml 893 BLAKE2B 7ffc0bff4983f6615d17b53ebe1c61cfd4392107bb227081ac39ed62cfacf6afecdcdc8578b27270e7c896bbbced96520083a97fd28867a631276f6950c38f7b SHA512 c920bbd8a11f1d8ae966b962330d88e595b6834bc0060149adac75925251b7e1258a76807a8462422b3f64abb0465bb17fcb32f491e56b309fc47d233142b9dc
diff --git a/sci-electronics/kicad-footprints/kicad-footprints-7.0.7.ebuild b/sci-electronics/kicad-footprints/kicad-footprints-7.0.7.ebuild
deleted file mode 100644
index 08b5804b2496..000000000000
--- a/sci-electronics/kicad-footprints/kicad-footprints-7.0.7.ebuild
+++ /dev/null
@@ -1,28 +0,0 @@
-# Copyright 1999-2023 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=8
-
-inherit cmake
-
-DESCRIPTION="Electronic Schematic and PCB design tools footprint libraries"
-HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-footprints"
-
-if [[ ${PV} == 9999 ]]; then
- EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-footprints.git"
- inherit git-r3
-else
- SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
-
- KEYWORDS="amd64 ~arm64 ~riscv ~x86"
-fi
-
-LICENSE="CC-BY-SA-4.0"
-SLOT="0"
-
-RDEPEND=">=sci-electronics/kicad-7.0.0"
-
-if [[ ${PV} == 9999 ]] ; then
-# x11-misc-util/macros only required on live ebuilds
- BDEPEND+=" >=x11-misc/util-macros-1.18"
-fi
diff --git a/sci-electronics/kicad-footprints/kicad-footprints-8.0.0.ebuild b/sci-electronics/kicad-footprints/kicad-footprints-8.0.0.ebuild
deleted file mode 100644
index 7882a2e18c3c..000000000000
--- a/sci-electronics/kicad-footprints/kicad-footprints-8.0.0.ebuild
+++ /dev/null
@@ -1,28 +0,0 @@
-# Copyright 1999-2024 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=8
-
-inherit cmake
-
-DESCRIPTION="Electronic Schematic and PCB design tools footprint libraries"
-HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-footprints"
-
-if [[ ${PV} == 9999 ]]; then
- EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-footprints.git"
- inherit git-r3
-else
- SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
-
- KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
-fi
-
-LICENSE="CC-BY-SA-4.0"
-SLOT="0"
-
-RDEPEND=">=sci-electronics/kicad-8.0.0"
-
-if [[ ${PV} == 9999 ]] ; then
-# x11-misc-util/macros only required on live ebuilds
- BDEPEND+=" >=x11-misc/util-macros-1.18"
-fi
diff --git a/sci-electronics/kicad-footprints/kicad-footprints-8.0.1.ebuild b/sci-electronics/kicad-footprints/kicad-footprints-8.0.1.ebuild
deleted file mode 100644
index 7882a2e18c3c..000000000000
--- a/sci-electronics/kicad-footprints/kicad-footprints-8.0.1.ebuild
+++ /dev/null
@@ -1,28 +0,0 @@
-# Copyright 1999-2024 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=8
-
-inherit cmake
-
-DESCRIPTION="Electronic Schematic and PCB design tools footprint libraries"
-HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-footprints"
-
-if [[ ${PV} == 9999 ]]; then
- EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-footprints.git"
- inherit git-r3
-else
- SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
-
- KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
-fi
-
-LICENSE="CC-BY-SA-4.0"
-SLOT="0"
-
-RDEPEND=">=sci-electronics/kicad-8.0.0"
-
-if [[ ${PV} == 9999 ]] ; then
-# x11-misc-util/macros only required on live ebuilds
- BDEPEND+=" >=x11-misc/util-macros-1.18"
-fi
diff --git a/sci-electronics/kicad-footprints/kicad-footprints-8.0.2.ebuild b/sci-electronics/kicad-footprints/kicad-footprints-8.0.2.ebuild
deleted file mode 100644
index 7882a2e18c3c..000000000000
--- a/sci-electronics/kicad-footprints/kicad-footprints-8.0.2.ebuild
+++ /dev/null
@@ -1,28 +0,0 @@
-# Copyright 1999-2024 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=8
-
-inherit cmake
-
-DESCRIPTION="Electronic Schematic and PCB design tools footprint libraries"
-HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-footprints"
-
-if [[ ${PV} == 9999 ]]; then
- EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-footprints.git"
- inherit git-r3
-else
- SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
-
- KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
-fi
-
-LICENSE="CC-BY-SA-4.0"
-SLOT="0"
-
-RDEPEND=">=sci-electronics/kicad-8.0.0"
-
-if [[ ${PV} == 9999 ]] ; then
-# x11-misc-util/macros only required on live ebuilds
- BDEPEND+=" >=x11-misc/util-macros-1.18"
-fi
diff --git a/sci-electronics/kicad-meta/Manifest b/sci-electronics/kicad-meta/Manifest
index 7364f3a327fa..a46b2b49b8d4 100644
--- a/sci-electronics/kicad-meta/Manifest
+++ b/sci-electronics/kicad-meta/Manifest
@@ -1,8 +1,4 @@
EBUILD kicad-meta-7.0.10.ebuild 570 BLAKE2B 9f7c06eacfbd798bc250c17d9c7b1c82697aaf6b971e904502f89fcb6627c5929da5e8c0dc6401c4d11facc0a989ef07b34194a3d05f75502c86e9a29ab0da32 SHA512 e8c21524143b77d05b04fbd449eb8a2e7b74cf8868201b0eb81de7d6212004092bf8c48fa790ee50c96a86f9d8e6c410397c2a4d531dbfcc256b8d47093a7d0f
EBUILD kicad-meta-7.0.11.ebuild 571 BLAKE2B dee55f9ee021b64850d617183010426533ed7357cd2f40d7f3eb7cf48afcc16590c0715f6eccda235fb6ab98477f8ac6cd60123efaffd7d2d92ee7c98e6fa7c9 SHA512 29488d8b13e9f80169149c822e13bc9cb300dd6f502cdc81a7fc72ce3b588f00b6e0a03b49c48880fbd44ef626b2d286e999ba927bbb042a049bbae0028de349
-EBUILD kicad-meta-7.0.7.ebuild 570 BLAKE2B 89f5e3dc79bef2bb168554fb0b2749179dc031f5aba44007102c874df90b14319660f6873019f518a3bde8cf01e5f0e9e6828b96b98aaed3a417690676510dd1 SHA512 3014e771d27d8b3ce4e02b238509f33958900d7c1623201cbf8a4be37930ff8a9c2af7c66c3fee24901a507382553f33cc3522b9e561b0e228db067104a55bc3
-EBUILD kicad-meta-8.0.0.ebuild 571 BLAKE2B dee55f9ee021b64850d617183010426533ed7357cd2f40d7f3eb7cf48afcc16590c0715f6eccda235fb6ab98477f8ac6cd60123efaffd7d2d92ee7c98e6fa7c9 SHA512 29488d8b13e9f80169149c822e13bc9cb300dd6f502cdc81a7fc72ce3b588f00b6e0a03b49c48880fbd44ef626b2d286e999ba927bbb042a049bbae0028de349
-EBUILD kicad-meta-8.0.1.ebuild 571 BLAKE2B dee55f9ee021b64850d617183010426533ed7357cd2f40d7f3eb7cf48afcc16590c0715f6eccda235fb6ab98477f8ac6cd60123efaffd7d2d92ee7c98e6fa7c9 SHA512 29488d8b13e9f80169149c822e13bc9cb300dd6f502cdc81a7fc72ce3b588f00b6e0a03b49c48880fbd44ef626b2d286e999ba927bbb042a049bbae0028de349
-EBUILD kicad-meta-8.0.2.ebuild 571 BLAKE2B dee55f9ee021b64850d617183010426533ed7357cd2f40d7f3eb7cf48afcc16590c0715f6eccda235fb6ab98477f8ac6cd60123efaffd7d2d92ee7c98e6fa7c9 SHA512 29488d8b13e9f80169149c822e13bc9cb300dd6f502cdc81a7fc72ce3b588f00b6e0a03b49c48880fbd44ef626b2d286e999ba927bbb042a049bbae0028de349
EBUILD kicad-meta-8.0.3.ebuild 571 BLAKE2B dee55f9ee021b64850d617183010426533ed7357cd2f40d7f3eb7cf48afcc16590c0715f6eccda235fb6ab98477f8ac6cd60123efaffd7d2d92ee7c98e6fa7c9 SHA512 29488d8b13e9f80169149c822e13bc9cb300dd6f502cdc81a7fc72ce3b588f00b6e0a03b49c48880fbd44ef626b2d286e999ba927bbb042a049bbae0028de349
MISC metadata.xml 742 BLAKE2B ac44b483ce823d860b77b12dafad2c7838e713467df948878138d8ff0dcf971a23efd5ad27afa5832e1a065362e84f651ad915dbbb897661ddfc36ce479543cd SHA512 0f15e077bcf21ffe31c84db0adbc90e7fe1a2d98c1d76deb6d458d17f1d0650c0b88dde0a36b6492cfb616dae97f6ecf1465f0d2d9ce36d4aed5d4ce0854ce5e
diff --git a/sci-electronics/kicad-meta/kicad-meta-7.0.7.ebuild b/sci-electronics/kicad-meta/kicad-meta-7.0.7.ebuild
deleted file mode 100644
index fc543a8c6248..000000000000
--- a/sci-electronics/kicad-meta/kicad-meta-7.0.7.ebuild
+++ /dev/null
@@ -1,27 +0,0 @@
-# Copyright 1999-2023 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=8
-
-DESCRIPTION="Electronic Schematic and PCB design tools (meta package)"
-HOMEPAGE="http://www.kicad.org"
-
-LICENSE="metapackage"
-SLOT="0"
-
-KEYWORDS="amd64 ~arm64 ~riscv ~x86"
-
-IUSE="doc minimal"
-
-RDEPEND="
- >=sci-electronics/kicad-${PV}
- >=sci-electronics/kicad-symbols-${PV}
- >=sci-electronics/kicad-footprints-${PV}
- doc? (
- >=app-doc/kicad-doc-${PV}
- )
- !minimal? (
- >=sci-electronics/kicad-packages3d-${PV}
- >=sci-electronics/kicad-templates-${PV}
- )
-"
diff --git a/sci-electronics/kicad-meta/kicad-meta-8.0.0.ebuild b/sci-electronics/kicad-meta/kicad-meta-8.0.0.ebuild
deleted file mode 100644
index e47e613dc36e..000000000000
--- a/sci-electronics/kicad-meta/kicad-meta-8.0.0.ebuild
+++ /dev/null
@@ -1,27 +0,0 @@
-# Copyright 1999-2024 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=8
-
-DESCRIPTION="Electronic Schematic and PCB design tools (meta package)"
-HOMEPAGE="http://www.kicad.org"
-
-LICENSE="metapackage"
-SLOT="0"
-
-KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
-
-IUSE="doc minimal"
-
-RDEPEND="
- >=sci-electronics/kicad-${PV}
- >=sci-electronics/kicad-symbols-${PV}
- >=sci-electronics/kicad-footprints-${PV}
- doc? (
- >=app-doc/kicad-doc-${PV}
- )
- !minimal? (
- >=sci-electronics/kicad-packages3d-${PV}
- >=sci-electronics/kicad-templates-${PV}
- )
-"
diff --git a/sci-electronics/kicad-meta/kicad-meta-8.0.1.ebuild b/sci-electronics/kicad-meta/kicad-meta-8.0.1.ebuild
deleted file mode 100644
index e47e613dc36e..000000000000
--- a/sci-electronics/kicad-meta/kicad-meta-8.0.1.ebuild
+++ /dev/null
@@ -1,27 +0,0 @@
-# Copyright 1999-2024 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=8
-
-DESCRIPTION="Electronic Schematic and PCB design tools (meta package)"
-HOMEPAGE="http://www.kicad.org"
-
-LICENSE="metapackage"
-SLOT="0"
-
-KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
-
-IUSE="doc minimal"
-
-RDEPEND="
- >=sci-electronics/kicad-${PV}
- >=sci-electronics/kicad-symbols-${PV}
- >=sci-electronics/kicad-footprints-${PV}
- doc? (
- >=app-doc/kicad-doc-${PV}
- )
- !minimal? (
- >=sci-electronics/kicad-packages3d-${PV}
- >=sci-electronics/kicad-templates-${PV}
- )
-"
diff --git a/sci-electronics/kicad-meta/kicad-meta-8.0.2.ebuild b/sci-electronics/kicad-meta/kicad-meta-8.0.2.ebuild
deleted file mode 100644
index e47e613dc36e..000000000000
--- a/sci-electronics/kicad-meta/kicad-meta-8.0.2.ebuild
+++ /dev/null
@@ -1,27 +0,0 @@
-# Copyright 1999-2024 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=8
-
-DESCRIPTION="Electronic Schematic and PCB design tools (meta package)"
-HOMEPAGE="http://www.kicad.org"
-
-LICENSE="metapackage"
-SLOT="0"
-
-KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
-
-IUSE="doc minimal"
-
-RDEPEND="
- >=sci-electronics/kicad-${PV}
- >=sci-electronics/kicad-symbols-${PV}
- >=sci-electronics/kicad-footprints-${PV}
- doc? (
- >=app-doc/kicad-doc-${PV}
- )
- !minimal? (
- >=sci-electronics/kicad-packages3d-${PV}
- >=sci-electronics/kicad-templates-${PV}
- )
-"
diff --git a/sci-electronics/kicad-packages3d/Manifest b/sci-electronics/kicad-packages3d/Manifest
index f85fe973591b..84908678ef4b 100644
--- a/sci-electronics/kicad-packages3d/Manifest
+++ b/sci-electronics/kicad-packages3d/Manifest
@@ -1,16 +1,8 @@
DIST kicad-packages3d-7.0.10.tar.bz2 773216530 BLAKE2B f45da926aa4621999c166014d6b578ace2b355343b1cf84db26f82084f27f50fd31ec1f76c91486958e6e15f353b0eac647e9251980c03f2b5522838edab5133 SHA512 c1ecf8ccb2f1e70d5981345e1f40bad6a09f1ccae4b5d67d3af3442699a3e99b6ff1a50b07a7530f6fe69d8070bd38138202f2903961afe239f11d99e1b1dd8b
DIST kicad-packages3d-7.0.11.tar.bz2 774735333 BLAKE2B af24617db4864b4630413132a0cc5643356ea1069f095b52f157083de8d7c4800e47847ff81379669ed2584bf8c5175e54d2cf6df9fe657bcbbe151af9529ea6 SHA512 7eb3699d79a2bff0369bd610fce20c7f128e7b650d7ce5082d28ab2b3683487ef7275c3b8e1927fdddda169917a0550304b563824c5f24f9b731d3ae04727fc6
-DIST kicad-packages3d-7.0.7.tar.bz2 772674558 BLAKE2B 9223317ca29e7d09423bc743df93471f4cff65a44113e9946479c9f59ceae86268cad68bf570d08d52e2ad123095ca3e2beb8249297bdb5b0f21c23f65aed9d6 SHA512 ae4d1be2dc9196acbf8e2314886f5639e4b20a922854dfce7a7eceb89cd0497d6649a5d9043870221f585a2fe9c68c2ac4ba4ccf2ede13596e4f89ebb24cc19c
-DIST kicad-packages3d-8.0.0.tar.bz2 774767823 BLAKE2B b97f8fa0096a274a836c1f500b5cdcaee1999f8073754c6666196b24c1640042183b37238fef6e01d1a4788ad026f51503cb83d721308e78d53c54ce9000ef0c SHA512 8f62dbf5f6265c1205814ab158458acf27c28f79043f9261c8ddc31c9db47920b693813c4335aa9f3f98bc9bf4a363e6103b7eec2e8b4e5a5d386510dcc121d5
-DIST kicad-packages3d-8.0.1.tar.bz2 773486331 BLAKE2B 40c3166abb029276dc39f44d469231c1df6e271d95a7826e7119bf8c706944ec9b7478019a4f97a7e81350da793642099eddc7be078cda2896ea99d181609340 SHA512 75800ff5d68a8660130cd114365a53ed72f6fc8ed09fda10e0270a519c575ec576936b65184aa957e49d7300abc4250f7bc0b61a98f69c17dd50a4a42bd51ef7
-DIST kicad-packages3d-8.0.2.tar.bz2 775246257 BLAKE2B e6d64f4c19ade481859ae88132d0a697434d127d100cb9c47f846474004bed9b52a6941747ee663935f14882c30a608444f989a298b4dc95774d8da866edd572 SHA512 861b0bf0b1259db2387eddec65fc4d1e730172257f6bd5c91931884fe3ff4e2a8b90dab41e4e6d6304dd1c8280a22d725d0480d8925e58a1c02785fdce472d86
DIST kicad-packages3d-8.0.3.tar.bz2 785569695 BLAKE2B 7e8a125e37873b0bc4b0ff64e5d331f0c74ebd0e6611d30f2a6f2732fea9b67552ae3ac4de6466d2c64ed21c7af02f80186ad9370c0d97aabb82cb2cb663a76b SHA512 60192eba5413cc76d84f4065420fb8a01a80cb9b5cbfb58ba197ebe10befbdd33d9d4ccb75d33c45de791a8fc635bd1225aaf38ad61c5f1a3ce99d03376e44a0
EBUILD kicad-packages3d-7.0.10.ebuild 833 BLAKE2B d4b0e70163864fe9ca82d04ff6b21cec4d41da3b4b3d13aab6ca736254d46637030b9ba83789893372260614069ab93150b115027288300493b22defd70ee81b SHA512 e91ed5aee063e264c3349abb88123b50d6d5f50ef724d25bd982f85bb04f5350662e85b178be4775a7cdca8a86723250fd547bb6f74f8afc744d99da182720d2
EBUILD kicad-packages3d-7.0.11.ebuild 834 BLAKE2B 12043631b8ea895467882541c8581e81382d84a70268899559ddaf57ea3e8c71b1a420417a7c5486dc25dae1e53caa65a2c87546e39a2d0619602eec6f67fd92 SHA512 04426415ea746f657a4372c3bb00516732b75b5fdf21ae8e57e16d8953b286cdebaa0d1129a0b24679eddfcd7f82fb7ab30114707367f0fa51be4ade33e9b39a
-EBUILD kicad-packages3d-7.0.7.ebuild 833 BLAKE2B d4b0e70163864fe9ca82d04ff6b21cec4d41da3b4b3d13aab6ca736254d46637030b9ba83789893372260614069ab93150b115027288300493b22defd70ee81b SHA512 e91ed5aee063e264c3349abb88123b50d6d5f50ef724d25bd982f85bb04f5350662e85b178be4775a7cdca8a86723250fd547bb6f74f8afc744d99da182720d2
-EBUILD kicad-packages3d-8.0.0.ebuild 834 BLAKE2B 425ced73adf5f40c89869f1922fb86e810bb7162d40f46bde177b0c7e3ca7d8fbc7f8873d84f4ef897bd9b71720d2bb53de501f5072a69c69403b32e62ee4f72 SHA512 2af1c720836c3b57cd9b6cff286c4ebd4faa511dc21002ae87201881f5d07df96b48f95b55e45257dd369eb22f869391dc288863c127bea8733fef592c3d64c4
-EBUILD kicad-packages3d-8.0.1.ebuild 834 BLAKE2B 425ced73adf5f40c89869f1922fb86e810bb7162d40f46bde177b0c7e3ca7d8fbc7f8873d84f4ef897bd9b71720d2bb53de501f5072a69c69403b32e62ee4f72 SHA512 2af1c720836c3b57cd9b6cff286c4ebd4faa511dc21002ae87201881f5d07df96b48f95b55e45257dd369eb22f869391dc288863c127bea8733fef592c3d64c4
-EBUILD kicad-packages3d-8.0.2.ebuild 834 BLAKE2B 425ced73adf5f40c89869f1922fb86e810bb7162d40f46bde177b0c7e3ca7d8fbc7f8873d84f4ef897bd9b71720d2bb53de501f5072a69c69403b32e62ee4f72 SHA512 2af1c720836c3b57cd9b6cff286c4ebd4faa511dc21002ae87201881f5d07df96b48f95b55e45257dd369eb22f869391dc288863c127bea8733fef592c3d64c4
EBUILD kicad-packages3d-8.0.3.ebuild 834 BLAKE2B 425ced73adf5f40c89869f1922fb86e810bb7162d40f46bde177b0c7e3ca7d8fbc7f8873d84f4ef897bd9b71720d2bb53de501f5072a69c69403b32e62ee4f72 SHA512 2af1c720836c3b57cd9b6cff286c4ebd4faa511dc21002ae87201881f5d07df96b48f95b55e45257dd369eb22f869391dc288863c127bea8733fef592c3d64c4
EBUILD kicad-packages3d-9999.ebuild 834 BLAKE2B 425ced73adf5f40c89869f1922fb86e810bb7162d40f46bde177b0c7e3ca7d8fbc7f8873d84f4ef897bd9b71720d2bb53de501f5072a69c69403b32e62ee4f72 SHA512 2af1c720836c3b57cd9b6cff286c4ebd4faa511dc21002ae87201881f5d07df96b48f95b55e45257dd369eb22f869391dc288863c127bea8733fef592c3d64c4
MISC metadata.xml 922 BLAKE2B 5c654445b0afa119376bb3b852ac4efbfc3a17db20b7f07059f19e84090cef9608a5d48f99c2243947c806af6a55f1830a78b18754f6ed772ffc7d754c79f344 SHA512 5211a081fb402e243e7c17053e55ef618e278a4e1bf0d0981e75b8b5d34b2e50713e0ab093fc580640cf04d4863b4726909dfc4533bbae8c5ffd96b3bc459e08
diff --git a/sci-electronics/kicad-packages3d/kicad-packages3d-7.0.7.ebuild b/sci-electronics/kicad-packages3d/kicad-packages3d-7.0.7.ebuild
deleted file mode 100644
index 0274962e3c8e..000000000000
--- a/sci-electronics/kicad-packages3d/kicad-packages3d-7.0.7.ebuild
+++ /dev/null
@@ -1,31 +0,0 @@
-# Copyright 1999-2024 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=8
-
-inherit check-reqs cmake
-
-DESCRIPTION="Electronic Schematic and PCB design tools 3D package libraries"
-HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-packages3D"
-
-if [[ ${PV} == 9999 ]]; then
- EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-packages3D.git"
- inherit git-r3
-else
- SRC_URI="https://gitlab.com/kicad/libraries/kicad-packages3D/-/archive/${PV}/kicad-packages3D-${PV}.tar.bz2 -> ${P}.tar.bz2"
- S="${WORKDIR}/${PN/3d/3D}-${PV}"
-
- KEYWORDS="amd64 ~arm64 ~riscv ~x86"
-fi
-
-LICENSE="CC-BY-SA-4.0"
-SLOT="0"
-
-RDEPEND=">=sci-electronics/kicad-7.0.0"
-
-if [[ ${PV} == 9999 ]] ; then
- # x11-misc-util/macros only required on live ebuilds
- BDEPEND=">=x11-misc/util-macros-1.18"
-fi
-
-CHECKREQS_DISK_BUILD="11G"
diff --git a/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.0.ebuild b/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.0.ebuild
deleted file mode 100644
index d4b12654f757..000000000000
--- a/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.0.ebuild
+++ /dev/null
@@ -1,31 +0,0 @@
-# Copyright 1999-2024 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=8
-
-inherit check-reqs cmake
-
-DESCRIPTION="Electronic Schematic and PCB design tools 3D package libraries"
-HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-packages3D"
-
-if [[ ${PV} == 9999 ]]; then
- EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-packages3D.git"
- inherit git-r3
-else
- SRC_URI="https://gitlab.com/kicad/libraries/kicad-packages3D/-/archive/${PV}/kicad-packages3D-${PV}.tar.bz2 -> ${P}.tar.bz2"
- S="${WORKDIR}/${PN/3d/3D}-${PV}"
-
- KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
-fi
-
-LICENSE="CC-BY-SA-4.0"
-SLOT="0"
-
-RDEPEND=">=sci-electronics/kicad-8.0.0"
-
-if [[ ${PV} == 9999 ]] ; then
- # x11-misc-util/macros only required on live ebuilds
- BDEPEND=">=x11-misc/util-macros-1.18"
-fi
-
-CHECKREQS_DISK_BUILD="11G"
diff --git a/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.1.ebuild b/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.1.ebuild
deleted file mode 100644
index d4b12654f757..000000000000
--- a/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.1.ebuild
+++ /dev/null
@@ -1,31 +0,0 @@
-# Copyright 1999-2024 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=8
-
-inherit check-reqs cmake
-
-DESCRIPTION="Electronic Schematic and PCB design tools 3D package libraries"
-HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-packages3D"
-
-if [[ ${PV} == 9999 ]]; then
- EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-packages3D.git"
- inherit git-r3
-else
- SRC_URI="https://gitlab.com/kicad/libraries/kicad-packages3D/-/archive/${PV}/kicad-packages3D-${PV}.tar.bz2 -> ${P}.tar.bz2"
- S="${WORKDIR}/${PN/3d/3D}-${PV}"
-
- KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
-fi
-
-LICENSE="CC-BY-SA-4.0"
-SLOT="0"
-
-RDEPEND=">=sci-electronics/kicad-8.0.0"
-
-if [[ ${PV} == 9999 ]] ; then
- # x11-misc-util/macros only required on live ebuilds
- BDEPEND=">=x11-misc/util-macros-1.18"
-fi
-
-CHECKREQS_DISK_BUILD="11G"
diff --git a/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.2.ebuild b/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.2.ebuild
deleted file mode 100644
index d4b12654f757..000000000000
--- a/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.2.ebuild
+++ /dev/null
@@ -1,31 +0,0 @@
-# Copyright 1999-2024 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=8
-
-inherit check-reqs cmake
-
-DESCRIPTION="Electronic Schematic and PCB design tools 3D package libraries"
-HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-packages3D"
-
-if [[ ${PV} == 9999 ]]; then
- EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-packages3D.git"
- inherit git-r3
-else
- SRC_URI="https://gitlab.com/kicad/libraries/kicad-packages3D/-/archive/${PV}/kicad-packages3D-${PV}.tar.bz2 -> ${P}.tar.bz2"
- S="${WORKDIR}/${PN/3d/3D}-${PV}"
-
- KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
-fi
-
-LICENSE="CC-BY-SA-4.0"
-SLOT="0"
-
-RDEPEND=">=sci-electronics/kicad-8.0.0"
-
-if [[ ${PV} == 9999 ]] ; then
- # x11-misc-util/macros only required on live ebuilds
- BDEPEND=">=x11-misc/util-macros-1.18"
-fi
-
-CHECKREQS_DISK_BUILD="11G"
diff --git a/sci-electronics/kicad-symbols/Manifest b/sci-electronics/kicad-symbols/Manifest
index bd96c3ecb1b0..ae2fa56f5bfe 100644
--- a/sci-electronics/kicad-symbols/Manifest
+++ b/sci-electronics/kicad-symbols/Manifest
@@ -1,16 +1,8 @@
DIST kicad-symbols-7.0.10.tar.bz2 3119612 BLAKE2B b5957fe4168bd325f7628110ccac1c40d24891c07d8b9618f8c7b1c3c612afd2e1efff82357ca1f4259e7dd06c1a11abf18f29e0e4b1dd8e531b9a80c94f5d8b SHA512 dd9b80a88a8ed10bb42c921f5d56ce4e82e2349b64a23e08f2d75d0903073e2e5132bce63d23d0b71116775392994dd5292742874e87b81bdb2b726f6c4c09f0
DIST kicad-symbols-7.0.11.tar.bz2 3369887 BLAKE2B a32be647927ea56e5f806a38983001ed31e22495b3e6dd5c63f3f0b69c84c2efdbcc8c5d18aa6ee8060242f1b0ea5c96ca0f2e7c7a98a4cb8c4f69eec1985ae7 SHA512 16e2dc478500f5b7baff0e5205530d14bc5969b2b1cdf7158995f194c7984025edc54c6a3b460ebc352dec1d3eb01e28474b027aa8994adc6958e3d014018263
-DIST kicad-symbols-7.0.7.tar.bz2 3026949 BLAKE2B 9f2db4e1e63333fb1ca1625bc7218ca5163e6557f27978215ebc0c858990be09352e62f473928cdd28624a6543d7c0415536e0d09b54c8cc77d17a18caa3e788 SHA512 f66cb91b8192aee70a921f6f7c83e5b1fe2354ced2b7f97ec693af47cfa0eed261e23bb4774029918a991f5b35cb2a65b0831fee097a92bcc8a1e2c22f85fed8
-DIST kicad-symbols-8.0.0.tar.bz2 3645950 BLAKE2B 88c3ff83cc9bfa3af347d0bd61d1ed1ed37f7fc37f385238331d71de72def16d39b1480ebb2a828a2182290fd02868b557a45b38b1ce8af26562235334b00f4b SHA512 ede78b1e876a63fe1e6adcb108ccbf31d1e53e9198dbe933ebf0a86efe550f80588e7611f990dde9b5614f37ea7be186a8733df310e3c01a7a43425f478e173a
-DIST kicad-symbols-8.0.1.tar.bz2 3639516 BLAKE2B 0f017f446705b0fe1e3fa1d44881ffef2dfbfc4f7d072d49e8c891f4de857700d29fc59bcadf266850e337655314e931ea19782d7f2dc88d20c556bce605127a SHA512 4acd7e203c6c3c1564569825a5ed17f70e96e92492582b853a5199cc4d3bb0833a1b5c55addc3f3360de5a0f9107b15e358229df2a3714b649cdc97e881ad876
-DIST kicad-symbols-8.0.2.tar.bz2 3638897 BLAKE2B 91c840d5c737a5aff9e90bc2a04f1e7de5c9500c083ef4494b658c6232580928042841773cf939bf0f7f85a1d7059523ccce2e2ca31631831927c41e7e9c7d38 SHA512 d6403e18ff6058e990aa9a272cb711915aa96fcf85b9a95aaa4ea1b26deadba998c395a6eb9d81003b6af626341b94f428f094ab28598f430479961cd4869f5d
DIST kicad-symbols-8.0.3.tar.bz2 3671038 BLAKE2B 6a76254b87ae14efd0d8e7edf2569755be902f16ef567c5b4bdd12b9c3e75a7a94437942e4affce378467daaa433d2ddb22fe32f7e39e5a60bd52e91faff23e2 SHA512 60ac5249281e783eae58d368b6521dbb5f0ef524a2be81d04b9578e6540baacf597300b5ac67566d2f91befbf67366eb8bb6cd0036b8773ecd753d91f5ab6cf8
EBUILD kicad-symbols-7.0.10.ebuild 707 BLAKE2B cfe1e71d5ee98a63626fca3c742a80d975e5344e28ab5f61cb9dfcc8e0ada5f0df15796e574987897860ec8131ba0a8e590bc1fe3a35b756909ef289ec9e7316 SHA512 24d28b94036d1517c018fb96d8298d2af5d1968d57e3f41daf86782b63da83ed6e68a6ea4c97c0e0db8cb86f7fea666ef9abf94eea1c6daaee123d1e199ad0d1
EBUILD kicad-symbols-7.0.11.ebuild 708 BLAKE2B 7286df61da973ae7ec5136a186ec4a9a3d85507cb9627380a67370b8c15bef54c4cbd27dec993d4e97428655817208cbe0a44aaf3afaeb1268cf430d3ed88f19 SHA512 1dc6bae1fbe964205a9d8cf91a92f974e9561f1f4417417bef3174370fa8d6a421579c8311432b6818360c726c932bae61f7b27d0975e5f7be426a34055c095d
-EBUILD kicad-symbols-7.0.7.ebuild 707 BLAKE2B b51fdf95f0fd689296297f0d9d79bf841789cb9f24ed97734ffd3d9e752ced86fb38d65e5c3b6bba467976ec41e8ec7c6d8455f704efa3f83a79e8c3acae9e56 SHA512 642064efe4573fd189edc507183cd2acabf8aa94a3e06da4a143282c26e3558d345c55f129f9e17445695b8cd6201fdd76666de2b88e8b463a0efe194278acac
-EBUILD kicad-symbols-8.0.0.ebuild 708 BLAKE2B 218f7204954d7bb70d1f6973b00e181a8f6365ed5370eeeac112067df3aa4957c70f611bd05d90686d05b50324cd7e74384975fd9ca738285bd9920de6f84485 SHA512 16da9924a0573cd68df1822bac666699d4aba43168d728e43382416f07c722fa566258b0dd158a72c18b88539f9c1ed6ff17cd41a40a8adcfdadbe841379dcbb
-EBUILD kicad-symbols-8.0.1.ebuild 708 BLAKE2B 218f7204954d7bb70d1f6973b00e181a8f6365ed5370eeeac112067df3aa4957c70f611bd05d90686d05b50324cd7e74384975fd9ca738285bd9920de6f84485 SHA512 16da9924a0573cd68df1822bac666699d4aba43168d728e43382416f07c722fa566258b0dd158a72c18b88539f9c1ed6ff17cd41a40a8adcfdadbe841379dcbb
-EBUILD kicad-symbols-8.0.2.ebuild 708 BLAKE2B 218f7204954d7bb70d1f6973b00e181a8f6365ed5370eeeac112067df3aa4957c70f611bd05d90686d05b50324cd7e74384975fd9ca738285bd9920de6f84485 SHA512 16da9924a0573cd68df1822bac666699d4aba43168d728e43382416f07c722fa566258b0dd158a72c18b88539f9c1ed6ff17cd41a40a8adcfdadbe841379dcbb
EBUILD kicad-symbols-8.0.3.ebuild 708 BLAKE2B 218f7204954d7bb70d1f6973b00e181a8f6365ed5370eeeac112067df3aa4957c70f611bd05d90686d05b50324cd7e74384975fd9ca738285bd9920de6f84485 SHA512 16da9924a0573cd68df1822bac666699d4aba43168d728e43382416f07c722fa566258b0dd158a72c18b88539f9c1ed6ff17cd41a40a8adcfdadbe841379dcbb
EBUILD kicad-symbols-9999.ebuild 708 BLAKE2B 218f7204954d7bb70d1f6973b00e181a8f6365ed5370eeeac112067df3aa4957c70f611bd05d90686d05b50324cd7e74384975fd9ca738285bd9920de6f84485 SHA512 16da9924a0573cd68df1822bac666699d4aba43168d728e43382416f07c722fa566258b0dd158a72c18b88539f9c1ed6ff17cd41a40a8adcfdadbe841379dcbb
MISC metadata.xml 851 BLAKE2B 586acb41bef75197bbc62cb2c809850d5b38595fc65d590dc2d9f7d7134e77469c0c77ff95865f26bbb1b1d3922d032f335eb7a703f16002aa44e4c365ebab0c SHA512 9b4150000f8961975f252102b4ff516292d8e2e9e39f689de62d7628e38955b86d6c2a455688d4622b3c0137e04f167966ff5a3a7c58eef2ad9c82cd821c0fb0
diff --git a/sci-electronics/kicad-symbols/kicad-symbols-7.0.7.ebuild b/sci-electronics/kicad-symbols/kicad-symbols-7.0.7.ebuild
deleted file mode 100644
index ed18acfa6346..000000000000
--- a/sci-electronics/kicad-symbols/kicad-symbols-7.0.7.ebuild
+++ /dev/null
@@ -1,28 +0,0 @@
-# Copyright 1999-2023 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=8
-
-inherit cmake
-
-DESCRIPTION="Electronic Schematic and PCB design tools symbol libraries"
-HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-symbols"
-
-if [[ ${PV} == 9999 ]]; then
- EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-symbols.git"
- inherit git-r3
-else
- SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
-
- KEYWORDS="amd64 ~arm64 ~riscv ~x86"
-fi
-
-LICENSE="CC-BY-SA-4.0"
-SLOT="0"
-
-RDEPEND=">=sci-electronics/kicad-7.0.0"
-
-if [[ ${PV} == 9999 ]] ; then
- # x11-misc-util/macros only required on live ebuilds
- BDEPEND+=" >=x11-misc/util-macros-1.18"
-fi
diff --git a/sci-electronics/kicad-symbols/kicad-symbols-8.0.0.ebuild b/sci-electronics/kicad-symbols/kicad-symbols-8.0.0.ebuild
deleted file mode 100644
index 4cea180f1254..000000000000
--- a/sci-electronics/kicad-symbols/kicad-symbols-8.0.0.ebuild
+++ /dev/null
@@ -1,28 +0,0 @@
-# Copyright 1999-2024 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=8
-
-inherit cmake
-
-DESCRIPTION="Electronic Schematic and PCB design tools symbol libraries"
-HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-symbols"
-
-if [[ ${PV} == 9999 ]]; then
- EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-symbols.git"
- inherit git-r3
-else
- SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
-
- KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
-fi
-
-LICENSE="CC-BY-SA-4.0"
-SLOT="0"
-
-RDEPEND=">=sci-electronics/kicad-8.0.0"
-
-if [[ ${PV} == 9999 ]] ; then
- # x11-misc-util/macros only required on live ebuilds
- BDEPEND+=" >=x11-misc/util-macros-1.18"
-fi
diff --git a/sci-electronics/kicad-symbols/kicad-symbols-8.0.1.ebuild b/sci-electronics/kicad-symbols/kicad-symbols-8.0.1.ebuild
deleted file mode 100644
index 4cea180f1254..000000000000
--- a/sci-electronics/kicad-symbols/kicad-symbols-8.0.1.ebuild
+++ /dev/null
@@ -1,28 +0,0 @@
-# Copyright 1999-2024 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=8
-
-inherit cmake
-
-DESCRIPTION="Electronic Schematic and PCB design tools symbol libraries"
-HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-symbols"
-
-if [[ ${PV} == 9999 ]]; then
- EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-symbols.git"
- inherit git-r3
-else
- SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
-
- KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
-fi
-
-LICENSE="CC-BY-SA-4.0"
-SLOT="0"
-
-RDEPEND=">=sci-electronics/kicad-8.0.0"
-
-if [[ ${PV} == 9999 ]] ; then
- # x11-misc-util/macros only required on live ebuilds
- BDEPEND+=" >=x11-misc/util-macros-1.18"
-fi
diff --git a/sci-electronics/kicad-symbols/kicad-symbols-8.0.2.ebuild b/sci-electronics/kicad-symbols/kicad-symbols-8.0.2.ebuild
deleted file mode 100644
index 4cea180f1254..000000000000
--- a/sci-electronics/kicad-symbols/kicad-symbols-8.0.2.ebuild
+++ /dev/null
@@ -1,28 +0,0 @@
-# Copyright 1999-2024 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=8
-
-inherit cmake
-
-DESCRIPTION="Electronic Schematic and PCB design tools symbol libraries"
-HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-symbols"
-
-if [[ ${PV} == 9999 ]]; then
- EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-symbols.git"
- inherit git-r3
-else
- SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
-
- KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
-fi
-
-LICENSE="CC-BY-SA-4.0"
-SLOT="0"
-
-RDEPEND=">=sci-electronics/kicad-8.0.0"
-
-if [[ ${PV} == 9999 ]] ; then
- # x11-misc-util/macros only required on live ebuilds
- BDEPEND+=" >=x11-misc/util-macros-1.18"
-fi
diff --git a/sci-electronics/kicad-templates/Manifest b/sci-electronics/kicad-templates/Manifest
index 963e4ba8c533..8475310e5a64 100644
--- a/sci-electronics/kicad-templates/Manifest
+++ b/sci-electronics/kicad-templates/Manifest
@@ -1,16 +1,8 @@
DIST kicad-templates-7.0.10.tar.bz2 1347681 BLAKE2B 0a6b2d06d257a21f32b1d5a5dfb90cf6f84f589b498faf292f0799a14dac8088482d9fa2a6cd55b2c3f9a145795cfeeb19e43b4a376ace22bab4f781fdba08ef SHA512 ee073bb615edb501075c703f60d4ba8015b489fb416d4a7709e552950904fc29b7c1dd32dd6edee2edf766d15cd1fe385c96c5d859ef89498abbb30714dcb919
DIST kicad-templates-7.0.11.tar.bz2 1348043 BLAKE2B 8aa60440fb2344dbcf2336ed78694a5f07bc167475b0a75ff1095c3b72407feaa2a453bfb8a199529ae48d96bcd005f48b83e38dff1f7ea51005c45f0b8be6a9 SHA512 02248755e4b2cba8e496eb9e8349f6e5a88e11d95afbffae6dd87e423615c50744dc3f47b138ea253bb4b06d5c853d34d1980dadd7e18abbecbd7c032c7d0290
-DIST kicad-templates-7.0.7.tar.bz2 1247513 BLAKE2B 7660829ff7474bb703011542a66bb63db9e011f717e86767eb4b09a4b802d64aa785b0283cae75c195059984a0fa0bf7a83cf5c0287850c3df9dbd40ebc4030a SHA512 dc579c72be647db67aac66bf12abe1fbb6763cf9120d325bcd60f321642b05b8b25354ede1e1c1ed31bc44f486d35c027f131230538120c49aa6f0b0e00ad5b2
-DIST kicad-templates-8.0.0.tar.bz2 1347354 BLAKE2B f24c131e02af8f5dbc4241c3bb812ba0e99e62cd054c2f8e39ac24091c097ee70b85e297c47183dfe598f60d5865e0db2b49101ba77577553f5eec7825cbb7a7 SHA512 636d9b1365f212a98e20d44251fd2e545c8a7bae5be25448c41976ada2bdb0ce8be0a64c9eedd89b13bcdb2c23702c8d3120ffbd89ca2fb36dce8462ad4237d5
-DIST kicad-templates-8.0.1.tar.bz2 1347686 BLAKE2B 20e7e486992de838b40ec289f2e75a929067ef0db048e97789850406f4dfd3617e78882a6557765a5ba27891f177d747ab922b3c33e7c4ad3099fdafc1755731 SHA512 9cbac4593eec461ed39c2f561f852dac321f78a9bc9ae2facd5bca6e4ce3cfcf942a693be7229cbabedeb0cfbedc304b86e302fd397e8babe4fff21c9627ab23
-DIST kicad-templates-8.0.2.tar.bz2 1347684 BLAKE2B 6d95496057d2f612539f57ee15d1fa3dc9a6f5929bdcf58a7a94123304a9b0e6788c56a66ff307ce84e262260ec2fe990a860fda104899447a2d02658821b8f8 SHA512 0b7a8806bb1a1cac5c53a851c09f922524ebfeaa565fa064c90a9ba2bd7871b4d2824c2417e7afa97e11cdc8ee2ccf2c713809829180dc50edbdd009079ff55d
DIST kicad-templates-8.0.3.tar.bz2 2783001 BLAKE2B 96c51de6dcecc371bb7b7ddef4807a95eb14d87459ec7b65f55bf79f6d4cda926f95a75bdda66b468e4f512b7f17b179f58cb307c69af9337e450f675071bf0e SHA512 267ffd98a1b18392257b7f35b938420f36da5022c40e5b86da18cb96636cbf71b52b62f2f4a70eae066c89751803f2a9fadb5f4f99940879e6da2eacac97787c
EBUILD kicad-templates-7.0.10.ebuild 562 BLAKE2B 2f6b519aefb91915e806a8a1826f3133e2c818458a1cd4eef83918791798fd6e581ea16991e52ef56dcd53b9e0581e92411170b9199c642268798f222f9ff367 SHA512 7effea38fefe0a42de8aaebb08e2aadde0a709d59402b273d6c6861f0500835d7868475ddfd6ff7e93fbd69ffd18bc9d8ca635d6eb63dd3b66fec5f76326de13
EBUILD kicad-templates-7.0.11.ebuild 563 BLAKE2B 4e893e19f8b0a590e9f95bd48cc53d19a9b7b79a9ebd3df243e87f10c3266a9089e38437224ae74f333c52cd74dd2802ccbcc54c5c9ec51a9c531e3357636842 SHA512 661babf3f81b280fd66f3ae04a90f269029769c179427acf4370153d0db590da1da4e09daa36fdfe97ea6dfade64f547e12b844c1ad56ead1ece7aa3f77d5ab7
-EBUILD kicad-templates-7.0.7.ebuild 562 BLAKE2B 595e1df695c99b31e738125ace5ea5c82ae4571c1fb0e4f8b524003181feba442509fc907512a3198811b4f1e8f49f880d7eb300a2f35a6937e1aa3e8d714137 SHA512 b80a7601bdadbfb2572f9bde6faa34ec24fc9bd601abf74c00a4bc46e811b09e6174130769696d88e408889c68c8d75079a05a8c44967a84f7b5377392d2e3d6
-EBUILD kicad-templates-8.0.0.ebuild 563 BLAKE2B 3998d7a78ee0e45b626cfbb453dc5e5719dcb46c1e695b1f17c22a9b36249ceaf724fde77b5c6d64a52fd90be65f5e5557cfb8d15b19e5ca553cc66c98fcd3e8 SHA512 58d9cf4aad6f41cf182fcefee778eb995a4896438a6f9d17a3975311471d810b649459e041028d9b86be1652ef867aae0807be9e327f2915b0519ba3571d91d2
-EBUILD kicad-templates-8.0.1.ebuild 563 BLAKE2B 3998d7a78ee0e45b626cfbb453dc5e5719dcb46c1e695b1f17c22a9b36249ceaf724fde77b5c6d64a52fd90be65f5e5557cfb8d15b19e5ca553cc66c98fcd3e8 SHA512 58d9cf4aad6f41cf182fcefee778eb995a4896438a6f9d17a3975311471d810b649459e041028d9b86be1652ef867aae0807be9e327f2915b0519ba3571d91d2
-EBUILD kicad-templates-8.0.2.ebuild 563 BLAKE2B 3998d7a78ee0e45b626cfbb453dc5e5719dcb46c1e695b1f17c22a9b36249ceaf724fde77b5c6d64a52fd90be65f5e5557cfb8d15b19e5ca553cc66c98fcd3e8 SHA512 58d9cf4aad6f41cf182fcefee778eb995a4896438a6f9d17a3975311471d810b649459e041028d9b86be1652ef867aae0807be9e327f2915b0519ba3571d91d2
EBUILD kicad-templates-8.0.3.ebuild 563 BLAKE2B 3998d7a78ee0e45b626cfbb453dc5e5719dcb46c1e695b1f17c22a9b36249ceaf724fde77b5c6d64a52fd90be65f5e5557cfb8d15b19e5ca553cc66c98fcd3e8 SHA512 58d9cf4aad6f41cf182fcefee778eb995a4896438a6f9d17a3975311471d810b649459e041028d9b86be1652ef867aae0807be9e327f2915b0519ba3571d91d2
EBUILD kicad-templates-9999.ebuild 563 BLAKE2B 3998d7a78ee0e45b626cfbb453dc5e5719dcb46c1e695b1f17c22a9b36249ceaf724fde77b5c6d64a52fd90be65f5e5557cfb8d15b19e5ca553cc66c98fcd3e8 SHA512 58d9cf4aad6f41cf182fcefee778eb995a4896438a6f9d17a3975311471d810b649459e041028d9b86be1652ef867aae0807be9e327f2915b0519ba3571d91d2
MISC metadata.xml 672 BLAKE2B d44d6bd1b467c2d76bf4494d3b85daf2c70b38833d356b0fac27ba4f0f9b5855c551542473e27c183555c56600fc20d93f8237208a7fef82b4cb180e0fc35a9e SHA512 4f1dbbbde66b25d227818c5ea7158ab33698d245368f28ff593bea88805d3ef3fdf4d3ad02aab58f573119a8946632301fe0d3da9ec23e0a7850f98838aff15d
diff --git a/sci-electronics/kicad-templates/kicad-templates-7.0.7.ebuild b/sci-electronics/kicad-templates/kicad-templates-7.0.7.ebuild
deleted file mode 100644
index 8e19625960ff..000000000000
--- a/sci-electronics/kicad-templates/kicad-templates-7.0.7.ebuild
+++ /dev/null
@@ -1,22 +0,0 @@
-# Copyright 1999-2023 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=8
-
-inherit cmake
-
-DESCRIPTION="Electronic Schematic and PCB design tools project templates"
-HOMEPAGE="https://github.com/kicad/kicad-templates"
-if [[ ${PV} == 9999 ]]; then
- EGIT_REPO_URI="https://gitlab.com/kicad/libraries/${PN}.git"
- inherit git-r3
-else
- SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
-
- KEYWORDS="amd64 ~arm64 ~riscv ~x86"
-fi
-
-LICENSE="CC-BY-SA-4.0"
-SLOT="0"
-
-RDEPEND=">=sci-electronics/kicad-7.0.0"
diff --git a/sci-electronics/kicad-templates/kicad-templates-8.0.0.ebuild b/sci-electronics/kicad-templates/kicad-templates-8.0.0.ebuild
deleted file mode 100644
index 56a84f2bc09a..000000000000
--- a/sci-electronics/kicad-templates/kicad-templates-8.0.0.ebuild
+++ /dev/null
@@ -1,22 +0,0 @@
-# Copyright 1999-2024 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=8
-
-inherit cmake
-
-DESCRIPTION="Electronic Schematic and PCB design tools project templates"
-HOMEPAGE="https://github.com/kicad/kicad-templates"
-if [[ ${PV} == 9999 ]]; then
- EGIT_REPO_URI="https://gitlab.com/kicad/libraries/${PN}.git"
- inherit git-r3
-else
- SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
-
- KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
-fi
-
-LICENSE="CC-BY-SA-4.0"
-SLOT="0"
-
-RDEPEND=">=sci-electronics/kicad-8.0.0"
diff --git a/sci-electronics/kicad-templates/kicad-templates-8.0.1.ebuild b/sci-electronics/kicad-templates/kicad-templates-8.0.1.ebuild
deleted file mode 100644
index 56a84f2bc09a..000000000000
--- a/sci-electronics/kicad-templates/kicad-templates-8.0.1.ebuild
+++ /dev/null
@@ -1,22 +0,0 @@
-# Copyright 1999-2024 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=8
-
-inherit cmake
-
-DESCRIPTION="Electronic Schematic and PCB design tools project templates"
-HOMEPAGE="https://github.com/kicad/kicad-templates"
-if [[ ${PV} == 9999 ]]; then
- EGIT_REPO_URI="https://gitlab.com/kicad/libraries/${PN}.git"
- inherit git-r3
-else
- SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
-
- KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
-fi
-
-LICENSE="CC-BY-SA-4.0"
-SLOT="0"
-
-RDEPEND=">=sci-electronics/kicad-8.0.0"
diff --git a/sci-electronics/kicad-templates/kicad-templates-8.0.2.ebuild b/sci-electronics/kicad-templates/kicad-templates-8.0.2.ebuild
deleted file mode 100644
index 56a84f2bc09a..000000000000
--- a/sci-electronics/kicad-templates/kicad-templates-8.0.2.ebuild
+++ /dev/null
@@ -1,22 +0,0 @@
-# Copyright 1999-2024 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=8
-
-inherit cmake
-
-DESCRIPTION="Electronic Schematic and PCB design tools project templates"
-HOMEPAGE="https://github.com/kicad/kicad-templates"
-if [[ ${PV} == 9999 ]]; then
- EGIT_REPO_URI="https://gitlab.com/kicad/libraries/${PN}.git"
- inherit git-r3
-else
- SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
-
- KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
-fi
-
-LICENSE="CC-BY-SA-4.0"
-SLOT="0"
-
-RDEPEND=">=sci-electronics/kicad-8.0.0"
diff --git a/sci-electronics/kicad/Manifest b/sci-electronics/kicad/Manifest
index 5702856d9a49..f0927cfe88ab 100644
--- a/sci-electronics/kicad/Manifest
+++ b/sci-electronics/kicad/Manifest
@@ -2,17 +2,9 @@ AUX kicad-7.0.0-werror.patch 682 BLAKE2B 268cf848679ffb5b5aa2389a6392986f908c648
AUX kicad-8.0.1-libgit2.patch 1329 BLAKE2B 2e9b8f5d498b60feafdbf658b05350611e67b6449412c5e8b5b2d0a3b3bacc04ced8a6f5a7d3333221c36756b43ddfe95e41f6e98fca6fe010022bc8495d71c7 SHA512 1842a255ebfea314b6880ccd00f4a9693cf288e8dec09a51e8f9da42b14e602e4bf668deadcbf216c05a1f4650723db01e2df3033ed29c6df9a7c2c8a3a5f2eb
DIST kicad-7.0.10.tar.bz2 43991960 BLAKE2B 3e21f575e41a6036ff2a9c3ab17472e51c73612ddadbf88631717b43024e604a8607fff013828823ff4db4d4263ac8e3bcc3b069e3efdfdc66fdb627f60f192a SHA512 f8005e6cb685c4cdc3982eec644f6d4a115138eaa19ca0bd2e6ae07613eac9c98fd7cdc181dd83e6c6d3c4b73ff6a54261ac5448a2a9c53298bc611c1bf408e1
DIST kicad-7.0.11.tar.bz2 44083805 BLAKE2B d923581f49b3cf48776de56ba12ddc99e942269c0c14950bb6b3413fb616af74a1b4e7095fc746e20125096f7d2e4c7a9f271dd58918b22fa53e8ce7b78fa6f6 SHA512 28d5560ead989dcfb6d3b07e37443de3bf1883bd56604ae20f70c0a068504fd8745f7011507d417f487b86071cec130ac8eb7bda7513d096e78dcc3dc98585aa
-DIST kicad-7.0.7.tar.bz2 44055973 BLAKE2B 4169e111b8d2086572aa2979f09a8119dbc9caf34790ec5e5f3e0972d0a2e93dab6a1c814dc35ae40d5962e7ba93bad83d5930e9dba9b9f2e52f307378519714 SHA512 82eff4a16847c657ae3102af7914539bf7404e8856ca8c94557533a3ddd7b40ff4571b351dab48349d503d413cf293880245a97238516add83e79890325f1067
-DIST kicad-8.0.0.tar.bz2 72430414 BLAKE2B 52bad016249563d9668f08da2103e47c7db706e6749e23ff5c59fd423673db614a1c8681cd0e7927571fe157644e142929891647964c48f45b219e72166c3ebc SHA512 52e605c10fbac0a3c6208f92ab9e567de9074e41495db9619631765a0f20e2da23a2d45610bd367d943e8b4a2d72edf33456007aabcc1f7672b0bb1a93101ce0
-DIST kicad-8.0.1.tar.bz2 72453098 BLAKE2B 67c6b69256566a176ae10affa7ac65879db71f5017dc24312eba904724b2b28caa56f6c82c697d27b34d94f9a8f5448307948e17f17c9750693b192afa3ffab9 SHA512 b6509bb4bfd1cbe87a2f409b70a8ba037a44fb039aaacd9d62868b43925382c0d3aab4c4f7e4bbd646b6ea7cf35fd7318f620a1c8c250c09251349357f2caf11
-DIST kicad-8.0.2.tar.bz2 72713030 BLAKE2B ba47a16b5c435970a45aef9988a5f6d218df043a5ce3547a191991ef63620125989e6218e97266a62c76ece604248bdf0652a10d423346fe3648c8ba240651c4 SHA512 192bcd494f00eccc430ddf9e9034f252bae177f06da81e730fb82f000a58bac9201d49ecba93c3bd17608b6df6a944beea85849050e306e11c1abfbd0028ff4a
DIST kicad-8.0.3.tar.bz2 72936035 BLAKE2B 667d6bc2e3a3f7ccc7a22a63d22702e6886404a86bca6ca2acdd5181c7046995332bb70f1fca9d25b20d9a7e1a9545488d00fb8d2ca70fd479a989ccea6c856f SHA512 14921827120381e749d094d2a76c850d2bee394c496859df3f4550a32b678a9523199a745e199f7c874ef85b2e8279129578e666c16932fbbcb1ba98c4e62b34
EBUILD kicad-7.0.10.ebuild 4362 BLAKE2B 28406e5f84731d8570940026cc91e8e347ad7a694dbb3538f4ff1822302c0132894a7208db807793bcc0986c58224a79f3cf6bb05c206a699f9a102ecdf3a5bc SHA512 5ab394782cb40651fc112f3b4b20b19d7fa8900af5596e76b5bcfd3876732497800a9f4a2adf477700da26fb1e2c84da47b6f7ebe3883f6d0736d2112df36603
EBUILD kicad-7.0.11.ebuild 4363 BLAKE2B 337e3e5bdb66b3735777b1362d0a0cee45fe8b73dc159cb28e918956e7057746c45adc61a1935a88cdc8aa233657984399380bdf63c6cf18fe88dab24fc3a451 SHA512 2ee86ef232c2b42142f5991ad3e84713a3a1ba591d39844cbe8f964fd6cfe8f5864f135fc451b28c8341cf01f6f5584f83fd4d86458e8cb4ac0b6fee7a9517ca
-EBUILD kicad-7.0.7.ebuild 4320 BLAKE2B 05b44e10a0a360a061fe7c1a5c44d72a39b6a158d4c3e013c47dcff8c545cb3741ecc847aad53fc4b9745089763ff4408d270112473f8aeb701fa2bf9c5c886a SHA512 bef889e4e25aa3285ee3d3df6c04d45446b6fbcecf076a391a8021d0e97d53efb11385abe889fb83db16f6cd2cb0b3c0eb466e8e9453a154c03c52ca29685a11
-EBUILD kicad-8.0.0.ebuild 4491 BLAKE2B 22f7bc921702b5fe31476490f2f54429267a216cc0225a84194b3833ba214fc33661670976abfe5fef8a7ee7bcbc0b22064e3f655ca2c60bee6c49d86a7a8bf0 SHA512 5f02334b65afebc68beb8417d8640ed5df8640687007d50041220a5ece62c997f7ec8f007f35ec7f98fc169a00fda947e8b641ce3c6c1a2d9deadeaf716e622b
-EBUILD kicad-8.0.1.ebuild 4491 BLAKE2B 22f7bc921702b5fe31476490f2f54429267a216cc0225a84194b3833ba214fc33661670976abfe5fef8a7ee7bcbc0b22064e3f655ca2c60bee6c49d86a7a8bf0 SHA512 5f02334b65afebc68beb8417d8640ed5df8640687007d50041220a5ece62c997f7ec8f007f35ec7f98fc169a00fda947e8b641ce3c6c1a2d9deadeaf716e622b
-EBUILD kicad-8.0.2-r1.ebuild 4477 BLAKE2B 84e035f8038ce9c056bb439fa85b236c7f88af26e64e03eecf8bacd0fd3cdbb6357a22837f533441d4f232fbf320663f1600cbbb0f26638b08115c5551baa646 SHA512 cd633da6a21ab3086409585029b5ad6a893b919224dc9eb217b16f598baf03b8fc2c97b00618e99399ffaf0fb67c97cbdf72c82b74cec2f94c6a455dffdc16f8
EBUILD kicad-8.0.3.ebuild 4531 BLAKE2B 8c1820ce7d33635c577c792c04c3fd2286061dd3e5cb77a2e97729d13b57deb47d03c63c308620c3619089a6fae002b662a9471ddd9f248c5787be02c12e4646 SHA512 448bb66474cfdf7eaed377b93588bcffcebb77d88d8650c75176bc1bbe40d65f3375af4c730d1963b9a6e65bbd35b6e575c77a0b7ea389b8b644e7faef14fdd7
EBUILD kicad-9999.ebuild 4531 BLAKE2B 8c1820ce7d33635c577c792c04c3fd2286061dd3e5cb77a2e97729d13b57deb47d03c63c308620c3619089a6fae002b662a9471ddd9f248c5787be02c12e4646 SHA512 448bb66474cfdf7eaed377b93588bcffcebb77d88d8650c75176bc1bbe40d65f3375af4c730d1963b9a6e65bbd35b6e575c77a0b7ea389b8b644e7faef14fdd7
MISC metadata.xml 811 BLAKE2B 4f2150b49b1bebf73aa3440ae1ace1624ce02e022d361051e895a18d28f2ab802fed04c94552b36fc0265335a1eacd5d3a7c407fc88f0c4f7de9e4fa6afa6c5f SHA512 c09c2143516f361a8553ab5c44ffa47c77c7a8dbf53596dfe9ba81378c1a7e3aee8378353950cd8805b675d0a29444848fddd4acfe107a54e3f56e87183a1c6c
diff --git a/sci-electronics/kicad/kicad-7.0.7.ebuild b/sci-electronics/kicad/kicad-7.0.7.ebuild
deleted file mode 100644
index 66c7ff8dd513..000000000000
--- a/sci-electronics/kicad/kicad-7.0.7.ebuild
+++ /dev/null
@@ -1,174 +0,0 @@
-# Copyright 1999-2024 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=8
-
-PYTHON_COMPAT=( python3_{10..11} )
-WX_GTK_VER="3.2-gtk3"
-
-inherit check-reqs cmake optfeature python-single-r1 toolchain-funcs wxwidgets xdg-utils
-
-DESCRIPTION="Electronic Schematic and PCB design tools"
-HOMEPAGE="https://www.kicad.org"
-
-if [[ ${PV} == 9999 ]]; then
- EGIT_REPO_URI="https://gitlab.com/kicad/code/kicad.git"
- inherit git-r3
-else
- MY_PV="${PV/_rc/-rc}"
- MY_P="${PN}-${MY_PV}"
- SRC_URI="https://gitlab.com/kicad/code/${PN}/-/archive/${MY_PV}/${MY_P}.tar.bz2 -> ${P}.tar.bz2"
- S="${WORKDIR}/${PN}-${MY_PV}"
-
- if [[ ${PV} != *_rc* ]] ; then
- KEYWORDS="amd64 ~arm64 ~riscv ~x86"
- fi
-fi
-
-# BSD for bundled pybind
-LICENSE="GPL-2+ GPL-3+ Boost-1.0 BSD"
-SLOT="0"
-IUSE="doc examples nls openmp test"
-
-REQUIRED_USE="${PYTHON_REQUIRED_USE}"
-
-RESTRICT="!test? ( test )"
-
-# Contains bundled pybind but it's patched for wx
-# See https://gitlab.com/kicad/code/kicad/-/commit/74e4370a9b146b21883d6a2d1df46c7a10bd0424
-# Depend on opencascade:0 to get unslotted variant (so we know path to it), bug #833301
-# Depend wxGTK version needs to be limited due to switch from EGL to GLX, bug #911120
-COMMON_DEPEND="
- dev-db/unixODBC
- dev-libs/boost:=[context,nls]
- media-libs/freeglut
- media-libs/glew:0=
- >=media-libs/glm-0.9.9.1
- media-libs/mesa[X(+)]
- net-misc/curl
- >=sci-libs/opencascade-7.3.0:0=
- <sci-libs/opencascade-7.8.0:0=
- >=x11-libs/cairo-1.8.8:=
- >=x11-libs/pixman-0.30
- >sci-electronics/ngspice-27[shared]
- sys-libs/zlib
- >=x11-libs/wxGTK-3.2.2.1-r3:${WX_GTK_VER}[X,opengl]
- $(python_gen_cond_dep '
- dev-libs/boost:=[context,nls,python,${PYTHON_USEDEP}]
- ~dev-python/wxpython-4.2.0:*[${PYTHON_USEDEP}]
- ')
- ${PYTHON_DEPS}
- nls? (
- sys-devel/gettext
- )
- test? (
- media-gfx/cairosvg
- )
-"
-DEPEND="${COMMON_DEPEND}"
-RDEPEND="${COMMON_DEPEND}
- sci-electronics/electronics-menu
-"
-BDEPEND=">=dev-lang/swig-4.0
- doc? ( app-text/doxygen )"
-
-if [[ ${PV} == 9999 ]] ; then
- # x11-misc-util/macros only required on live ebuilds
- BDEPEND+=" >=x11-misc/util-macros-1.18"
-fi
-
-CHECKREQS_DISK_BUILD="1500M"
-
-PATCHES=(
- "${FILESDIR}"/${PN}-7.0.0-werror.patch
-)
-
-pkg_setup() {
- [[ ${MERGE_TYPE} != binary ]] && use openmp && tc-check-openmp
-
- python-single-r1_pkg_setup
- setup-wxwidgets
- check-reqs_pkg_setup
-}
-
-src_unpack() {
- if [[ ${PV} == 9999 ]]; then
- git-r3_src_unpack
- else
- default_src_unpack
- fi
-}
-
-src_configure() {
- xdg_environment_reset
-
- local mycmakeargs=(
- -DKICAD_DOCS="${EPREFIX}/usr/share/doc/${PN}-doc-${PV}"
-
- -DKICAD_SCRIPTING_WXPYTHON=ON
- -DKICAD_USE_EGL=OFF
-
- -DKICAD_BUILD_I18N="$(usex nls)"
- -DKICAD_I18N_UNIX_STRICT_PATH="$(usex nls)"
-
- -DPYTHON_DEST="$(python_get_sitedir)"
- -DPYTHON_EXECUTABLE="${PYTHON}"
- -DPYTHON_INCLUDE_DIR="$(python_get_includedir)"
- -DPYTHON_LIBRARY="$(python_get_library_path)"
-
- -DKICAD_INSTALL_DEMOS="$(usex examples)"
- -DCMAKE_SKIP_RPATH="ON"
-
- -DOCC_INCLUDE_DIR="${CASROOT}"/include/opencascade
- -DOCC_LIBRARY_DIR="${CASROOT}"/$(get_libdir)/opencascade
- )
-
- cmake_src_configure
-}
-
-src_compile() {
- cmake_src_compile
- if use doc; then
- cmake_src_compile doxygen-docs
- fi
-}
-
-src_test() {
- # Test cannot find library in Portage's sandbox. Let's create a link so test can run.
- mkdir -p "${BUILD_DIR}/qa/eeschema/" || die
- dosym "${BUILD_DIR}/eeschema/_eeschema.kiface" "${BUILD_DIR}/qa/eeschema/_eeschema.kiface" || die
-
- # LD_LIBRARY_PATH is there to help it pick up the just-built libraries
- LD_LIBRARY_PATH="${BUILD_DIR}/3d-viewer/3d_cache/sg:${LD_LIBRARY_PATH}" cmake_src_test
-}
-
-src_install() {
- cmake_src_install
- python_optimize
-
- dodoc doxygen/eagle-plugin-notes.txt
-
- if use doc ; then
- cd doxygen || die
- dodoc -r out/html/.
- fi
-}
-
-pkg_postinst() {
- optfeature "Component symbols library" sci-electronics/kicad-symbols
- optfeature "Component footprints library" sci-electronics/kicad-footprints
- optfeature "3D models of components " sci-electronics/kicad-packages3d
- optfeature "Project templates" sci-electronics/kicad-templates
- optfeature "Extended documentation" app-doc/kicad-doc
- optfeature "Creating 3D models of components" media-gfx/wings
-
- xdg_desktop_database_update
- xdg_mimeinfo_database_update
- xdg_icon_cache_update
-}
-
-pkg_postrm() {
- xdg_desktop_database_update
- xdg_mimeinfo_database_update
- xdg_icon_cache_update
-}
diff --git a/sci-electronics/kicad/kicad-8.0.0.ebuild b/sci-electronics/kicad/kicad-8.0.0.ebuild
deleted file mode 100644
index 96b4a708d332..000000000000
--- a/sci-electronics/kicad/kicad-8.0.0.ebuild
+++ /dev/null
@@ -1,181 +0,0 @@
-# Copyright 1999-2024 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=8
-
-PYTHON_COMPAT=( python3_{10..11} )
-WX_GTK_VER="3.2-gtk3"
-
-inherit check-reqs cmake optfeature python-single-r1 toolchain-funcs wxwidgets xdg-utils
-
-DESCRIPTION="Electronic Schematic and PCB design tools"
-HOMEPAGE="https://www.kicad.org"
-
-if [[ ${PV} == 9999 ]]; then
- EGIT_REPO_URI="https://gitlab.com/kicad/code/kicad.git"
- inherit git-r3
-else
- MY_PV="${PV/_rc/-rc}"
- MY_P="${PN}-${MY_PV}"
- SRC_URI="https://gitlab.com/kicad/code/${PN}/-/archive/${MY_PV}/${MY_P}.tar.bz2 -> ${P}.tar.bz2"
- S="${WORKDIR}/${PN}-${MY_PV}"
-
- if [[ ${PV} != *_rc* ]] ; then
- KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
- fi
-fi
-
-# BSD for bundled pybind
-LICENSE="GPL-2+ GPL-3+ Boost-1.0 BSD"
-SLOT="0"
-IUSE="doc examples nls openmp telemetry test"
-
-REQUIRED_USE="${PYTHON_REQUIRED_USE}"
-
-RESTRICT="!test? ( test )"
-
-# Contains bundled pybind but it's patched for wx
-# See https://gitlab.com/kicad/code/kicad/-/commit/74e4370a9b146b21883d6a2d1df46c7a10bd0424
-# Depend on opencascade:0 to get unslotted variant (so we know path to it), bug #833301
-# Depend wxGTK version needs to be limited due to switch from EGL to GLX, bug #911120
-COMMON_DEPEND="
- app-crypt/libsecret
- dev-db/unixODBC
- dev-libs/boost:=[context,nls]
- dev-libs/libgit2:=
- media-libs/freeglut
- media-libs/glew:0=
- >=media-libs/glm-0.9.9.1
- media-libs/mesa[X(+)]
- net-misc/curl
- >=sci-libs/opencascade-7.5.0:0=
- <sci-libs/opencascade-7.8.0:0=
- >=x11-libs/cairo-1.8.8:=
- >=x11-libs/pixman-0.30
- >sci-electronics/ngspice-27[shared]
- sys-libs/zlib
- >=x11-libs/wxGTK-3.2.2.1-r3:${WX_GTK_VER}[X,opengl]
- $(python_gen_cond_dep '
- dev-libs/boost:=[context,nls,python,${PYTHON_USEDEP}]
- >=dev-python/wxpython-4.2.0:*[${PYTHON_USEDEP}]
- ')
- ${PYTHON_DEPS}
- nls? (
- sys-devel/gettext
- )
- test? (
- media-gfx/cairosvg
- )
-"
-DEPEND="${COMMON_DEPEND}"
-RDEPEND="${COMMON_DEPEND}
- sci-electronics/electronics-menu
-"
-BDEPEND=">=dev-lang/swig-4.0
- doc? ( app-text/doxygen )"
-
-if [[ ${PV} == 9999 ]] ; then
- # x11-misc-util/macros only required on live ebuilds
- BDEPEND+=" >=x11-misc/util-macros-1.18"
-fi
-
-CHECKREQS_DISK_BUILD="1500M"
-
-PATCHES=(
- "${FILESDIR}"/${PN}-8.0.1-libgit2.patch
-)
-
-pkg_setup() {
- [[ ${MERGE_TYPE} != binary ]] && use openmp && tc-check-openmp
-
- python-single-r1_pkg_setup
- setup-wxwidgets
- check-reqs_pkg_setup
-}
-
-src_unpack() {
- if [[ ${PV} == 9999 ]]; then
- git-r3_src_unpack
- else
- default_src_unpack
- fi
-}
-
-src_configure() {
- xdg_environment_reset
-
- local mycmakeargs=(
- -DKICAD_DOCS="${EPREFIX}/usr/share/doc/${PN}-doc-${PV}"
-
- -DKICAD_SCRIPTING_WXPYTHON=ON
- -DKICAD_USE_EGL=OFF
-
- -DKICAD_BUILD_I18N="$(usex nls)"
- -DKICAD_I18N_UNIX_STRICT_PATH="$(usex nls)"
-
- -DPYTHON_DEST="$(python_get_sitedir)"
- -DPYTHON_EXECUTABLE="${PYTHON}"
- -DPYTHON_INCLUDE_DIR="$(python_get_includedir)"
- -DPYTHON_LIBRARY="$(python_get_library_path)"
-
- -DKICAD_INSTALL_DEMOS="$(usex examples)"
- -DCMAKE_SKIP_RPATH="ON"
-
- -DOCC_INCLUDE_DIR="${CASROOT}"/include/opencascade
- -DOCC_LIBRARY_DIR="${CASROOT}"/$(get_libdir)/opencascade
-
- -DKICAD_USE_SENTRY="$(usex telemetry)"
-
- -DKICAD_SPICE_QA="$(usex test)"
- -DKICAD_BUILD_QA_TESTS="$(usex test)"
- )
-
- cmake_src_configure
-}
-
-src_compile() {
- cmake_src_compile
- if use doc; then
- cmake_src_compile doxygen-docs
- fi
-}
-
-src_test() {
- # Test cannot find library in Portage's sandbox. Let's create a link so test can run.
- mkdir -p "${BUILD_DIR}/qa/eeschema/" || die
- dosym "${BUILD_DIR}/eeschema/_eeschema.kiface" "${BUILD_DIR}/qa/eeschema/_eeschema.kiface" || die
-
- # LD_LIBRARY_PATH is there to help it pick up the just-built libraries
- LD_LIBRARY_PATH="${BUILD_DIR}/3d-viewer/3d_cache/sg:${LD_LIBRARY_PATH}" cmake_src_test
-}
-
-src_install() {
- cmake_src_install
- python_optimize
-
- dodoc doxygen/eagle-plugin-notes.txt
-
- if use doc ; then
- cd doxygen || die
- dodoc -r out/html/.
- fi
-}
-
-pkg_postinst() {
- optfeature "Component symbols library" sci-electronics/kicad-symbols
- optfeature "Component footprints library" sci-electronics/kicad-footprints
- optfeature "3D models of components " sci-electronics/kicad-packages3d
- optfeature "Project templates" sci-electronics/kicad-templates
- optfeature "Extended documentation" app-doc/kicad-doc
- optfeature "Creating 3D models of components" media-gfx/wings
-
- xdg_desktop_database_update
- xdg_mimeinfo_database_update
- xdg_icon_cache_update
-}
-
-pkg_postrm() {
- xdg_desktop_database_update
- xdg_mimeinfo_database_update
- xdg_icon_cache_update
-}
diff --git a/sci-electronics/kicad/kicad-8.0.1.ebuild b/sci-electronics/kicad/kicad-8.0.1.ebuild
deleted file mode 100644
index 96b4a708d332..000000000000
--- a/sci-electronics/kicad/kicad-8.0.1.ebuild
+++ /dev/null
@@ -1,181 +0,0 @@
-# Copyright 1999-2024 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=8
-
-PYTHON_COMPAT=( python3_{10..11} )
-WX_GTK_VER="3.2-gtk3"
-
-inherit check-reqs cmake optfeature python-single-r1 toolchain-funcs wxwidgets xdg-utils
-
-DESCRIPTION="Electronic Schematic and PCB design tools"
-HOMEPAGE="https://www.kicad.org"
-
-if [[ ${PV} == 9999 ]]; then
- EGIT_REPO_URI="https://gitlab.com/kicad/code/kicad.git"
- inherit git-r3
-else
- MY_PV="${PV/_rc/-rc}"
- MY_P="${PN}-${MY_PV}"
- SRC_URI="https://gitlab.com/kicad/code/${PN}/-/archive/${MY_PV}/${MY_P}.tar.bz2 -> ${P}.tar.bz2"
- S="${WORKDIR}/${PN}-${MY_PV}"
-
- if [[ ${PV} != *_rc* ]] ; then
- KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
- fi
-fi
-
-# BSD for bundled pybind
-LICENSE="GPL-2+ GPL-3+ Boost-1.0 BSD"
-SLOT="0"
-IUSE="doc examples nls openmp telemetry test"
-
-REQUIRED_USE="${PYTHON_REQUIRED_USE}"
-
-RESTRICT="!test? ( test )"
-
-# Contains bundled pybind but it's patched for wx
-# See https://gitlab.com/kicad/code/kicad/-/commit/74e4370a9b146b21883d6a2d1df46c7a10bd0424
-# Depend on opencascade:0 to get unslotted variant (so we know path to it), bug #833301
-# Depend wxGTK version needs to be limited due to switch from EGL to GLX, bug #911120
-COMMON_DEPEND="
- app-crypt/libsecret
- dev-db/unixODBC
- dev-libs/boost:=[context,nls]
- dev-libs/libgit2:=
- media-libs/freeglut
- media-libs/glew:0=
- >=media-libs/glm-0.9.9.1
- media-libs/mesa[X(+)]
- net-misc/curl
- >=sci-libs/opencascade-7.5.0:0=
- <sci-libs/opencascade-7.8.0:0=
- >=x11-libs/cairo-1.8.8:=
- >=x11-libs/pixman-0.30
- >sci-electronics/ngspice-27[shared]
- sys-libs/zlib
- >=x11-libs/wxGTK-3.2.2.1-r3:${WX_GTK_VER}[X,opengl]
- $(python_gen_cond_dep '
- dev-libs/boost:=[context,nls,python,${PYTHON_USEDEP}]
- >=dev-python/wxpython-4.2.0:*[${PYTHON_USEDEP}]
- ')
- ${PYTHON_DEPS}
- nls? (
- sys-devel/gettext
- )
- test? (
- media-gfx/cairosvg
- )
-"
-DEPEND="${COMMON_DEPEND}"
-RDEPEND="${COMMON_DEPEND}
- sci-electronics/electronics-menu
-"
-BDEPEND=">=dev-lang/swig-4.0
- doc? ( app-text/doxygen )"
-
-if [[ ${PV} == 9999 ]] ; then
- # x11-misc-util/macros only required on live ebuilds
- BDEPEND+=" >=x11-misc/util-macros-1.18"
-fi
-
-CHECKREQS_DISK_BUILD="1500M"
-
-PATCHES=(
- "${FILESDIR}"/${PN}-8.0.1-libgit2.patch
-)
-
-pkg_setup() {
- [[ ${MERGE_TYPE} != binary ]] && use openmp && tc-check-openmp
-
- python-single-r1_pkg_setup
- setup-wxwidgets
- check-reqs_pkg_setup
-}
-
-src_unpack() {
- if [[ ${PV} == 9999 ]]; then
- git-r3_src_unpack
- else
- default_src_unpack
- fi
-}
-
-src_configure() {
- xdg_environment_reset
-
- local mycmakeargs=(
- -DKICAD_DOCS="${EPREFIX}/usr/share/doc/${PN}-doc-${PV}"
-
- -DKICAD_SCRIPTING_WXPYTHON=ON
- -DKICAD_USE_EGL=OFF
-
- -DKICAD_BUILD_I18N="$(usex nls)"
- -DKICAD_I18N_UNIX_STRICT_PATH="$(usex nls)"
-
- -DPYTHON_DEST="$(python_get_sitedir)"
- -DPYTHON_EXECUTABLE="${PYTHON}"
- -DPYTHON_INCLUDE_DIR="$(python_get_includedir)"
- -DPYTHON_LIBRARY="$(python_get_library_path)"
-
- -DKICAD_INSTALL_DEMOS="$(usex examples)"
- -DCMAKE_SKIP_RPATH="ON"
-
- -DOCC_INCLUDE_DIR="${CASROOT}"/include/opencascade
- -DOCC_LIBRARY_DIR="${CASROOT}"/$(get_libdir)/opencascade
-
- -DKICAD_USE_SENTRY="$(usex telemetry)"
-
- -DKICAD_SPICE_QA="$(usex test)"
- -DKICAD_BUILD_QA_TESTS="$(usex test)"
- )
-
- cmake_src_configure
-}
-
-src_compile() {
- cmake_src_compile
- if use doc; then
- cmake_src_compile doxygen-docs
- fi
-}
-
-src_test() {
- # Test cannot find library in Portage's sandbox. Let's create a link so test can run.
- mkdir -p "${BUILD_DIR}/qa/eeschema/" || die
- dosym "${BUILD_DIR}/eeschema/_eeschema.kiface" "${BUILD_DIR}/qa/eeschema/_eeschema.kiface" || die
-
- # LD_LIBRARY_PATH is there to help it pick up the just-built libraries
- LD_LIBRARY_PATH="${BUILD_DIR}/3d-viewer/3d_cache/sg:${LD_LIBRARY_PATH}" cmake_src_test
-}
-
-src_install() {
- cmake_src_install
- python_optimize
-
- dodoc doxygen/eagle-plugin-notes.txt
-
- if use doc ; then
- cd doxygen || die
- dodoc -r out/html/.
- fi
-}
-
-pkg_postinst() {
- optfeature "Component symbols library" sci-electronics/kicad-symbols
- optfeature "Component footprints library" sci-electronics/kicad-footprints
- optfeature "3D models of components " sci-electronics/kicad-packages3d
- optfeature "Project templates" sci-electronics/kicad-templates
- optfeature "Extended documentation" app-doc/kicad-doc
- optfeature "Creating 3D models of components" media-gfx/wings
-
- xdg_desktop_database_update
- xdg_mimeinfo_database_update
- xdg_icon_cache_update
-}
-
-pkg_postrm() {
- xdg_desktop_database_update
- xdg_mimeinfo_database_update
- xdg_icon_cache_update
-}
diff --git a/sci-electronics/kicad/kicad-8.0.2-r1.ebuild b/sci-electronics/kicad/kicad-8.0.2-r1.ebuild
deleted file mode 100644
index 83bf7ead3a08..000000000000
--- a/sci-electronics/kicad/kicad-8.0.2-r1.ebuild
+++ /dev/null
@@ -1,180 +0,0 @@
-# Copyright 1999-2024 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=8
-
-PYTHON_COMPAT=( python3_{10..11} )
-WX_GTK_VER="3.2-gtk3"
-
-inherit check-reqs cmake flag-o-matic optfeature python-single-r1 toolchain-funcs wxwidgets xdg-utils
-
-DESCRIPTION="Electronic Schematic and PCB design tools"
-HOMEPAGE="https://www.kicad.org"
-
-if [[ ${PV} == 9999 ]]; then
- EGIT_REPO_URI="https://gitlab.com/kicad/code/kicad.git"
- inherit git-r3
-else
- MY_PV="${PV/_rc/-rc}"
- MY_P="${PN}-${MY_PV}"
- SRC_URI="https://gitlab.com/kicad/code/${PN}/-/archive/${MY_PV}/${MY_P}.tar.bz2 -> ${P}.tar.bz2"
- S="${WORKDIR}/${PN}-${MY_PV}"
-
- if [[ ${PV} != *_rc* ]] ; then
- KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
- fi
-fi
-
-# BSD for bundled pybind
-LICENSE="GPL-2+ GPL-3+ Boost-1.0 BSD"
-SLOT="0"
-IUSE="doc examples nls openmp test"
-
-REQUIRED_USE="${PYTHON_REQUIRED_USE}"
-
-RESTRICT="!test? ( test )"
-
-# Contains bundled pybind but it's patched for wx
-# See https://gitlab.com/kicad/code/kicad/-/commit/74e4370a9b146b21883d6a2d1df46c7a10bd0424
-# Depend on opencascade:0 to get unslotted variant (so we know path to it), bug #833301
-# Depend wxGTK version needs to be limited due to switch from EGL to GLX, bug #911120
-COMMON_DEPEND="
- app-crypt/libsecret
- dev-db/unixODBC
- dev-libs/boost:=[context,nls]
- dev-libs/libgit2:=
- media-libs/freeglut
- media-libs/glew:0=
- >=media-libs/glm-0.9.9.1
- media-libs/mesa[X(+)]
- net-misc/curl
- >=sci-libs/opencascade-7.5.0:0=
- >=x11-libs/cairo-1.8.8:=
- >=x11-libs/pixman-0.30
- >sci-electronics/ngspice-27[shared]
- sys-libs/zlib
- >=x11-libs/wxGTK-3.2.2.1-r3:${WX_GTK_VER}[X,opengl]
- $(python_gen_cond_dep '
- dev-libs/boost:=[context,nls,python,${PYTHON_USEDEP}]
- >=dev-python/wxpython-4.2.0:*[${PYTHON_USEDEP}]
- ')
- ${PYTHON_DEPS}
- nls? (
- sys-devel/gettext
- )
- test? (
- media-gfx/cairosvg
- )
-"
-DEPEND="${COMMON_DEPEND}"
-RDEPEND="${COMMON_DEPEND}
- sci-electronics/electronics-menu
-"
-BDEPEND=">=dev-lang/swig-4.0
- doc? ( app-text/doxygen )"
-
-if [[ ${PV} == 9999 ]] ; then
- # x11-misc-util/macros only required on live ebuilds
- BDEPEND+=" >=x11-misc/util-macros-1.18"
-fi
-
-CHECKREQS_DISK_BUILD="1500M"
-
-pkg_setup() {
- [[ ${MERGE_TYPE} != binary ]] && use openmp && tc-check-openmp
-
- python-single-r1_pkg_setup
- setup-wxwidgets
- check-reqs_pkg_setup
-}
-
-src_unpack() {
- if [[ ${PV} == 9999 ]]; then
- git-r3_src_unpack
- else
- default_src_unpack
- fi
-}
-
-src_prepare() {
- filter-lto # Bug 927482
- cmake_src_prepare
-}
-
-src_configure() {
- xdg_environment_reset
-
- local mycmakeargs=(
- -DKICAD_DOCS="${EPREFIX}/usr/share/doc/${PN}-doc-${PV}"
-
- -DKICAD_SCRIPTING_WXPYTHON=ON
- -DKICAD_USE_EGL=OFF
-
- -DKICAD_BUILD_I18N="$(usex nls)"
- -DKICAD_I18N_UNIX_STRICT_PATH="$(usex nls)"
-
- -DPYTHON_DEST="$(python_get_sitedir)"
- -DPYTHON_EXECUTABLE="${PYTHON}"
- -DPYTHON_INCLUDE_DIR="$(python_get_includedir)"
- -DPYTHON_LIBRARY="$(python_get_library_path)"
-
- -DKICAD_INSTALL_DEMOS="$(usex examples)"
- -DCMAKE_SKIP_RPATH="ON"
-
- -DOCC_INCLUDE_DIR="${CASROOT}"/include/opencascade
- -DOCC_LIBRARY_DIR="${CASROOT}"/$(get_libdir)/opencascade
-
- -DKICAD_SPICE_QA="$(usex test)"
- -DKICAD_BUILD_QA_TESTS="$(usex test)"
- )
-
- cmake_src_configure
-}
-
-src_compile() {
- cmake_src_compile
- if use doc; then
- cmake_src_compile doxygen-docs
- fi
-}
-
-src_test() {
- # Test cannot find library in Portage's sandbox. Let's create a link so test can run.
- mkdir -p "${BUILD_DIR}/qa/eeschema/" || die
- dosym "${BUILD_DIR}/eeschema/_eeschema.kiface" "${BUILD_DIR}/qa/eeschema/_eeschema.kiface" || die
-
- # LD_LIBRARY_PATH is there to help it pick up the just-built libraries
- LD_LIBRARY_PATH="${BUILD_DIR}/common:${BUILD_DIR}/common/gal:${BUILD_DIR}/3d-viewer/3d_cache/sg:${LD_LIBRARY_PATH}" \
- cmake_src_test
-}
-
-src_install() {
- cmake_src_install
- python_optimize
-
- dodoc doxygen/eagle-plugin-notes.txt
-
- if use doc ; then
- cd doxygen || die
- dodoc -r out/html/.
- fi
-}
-
-pkg_postinst() {
- optfeature "Component symbols library" sci-electronics/kicad-symbols
- optfeature "Component footprints library" sci-electronics/kicad-footprints
- optfeature "3D models of components " sci-electronics/kicad-packages3d
- optfeature "Project templates" sci-electronics/kicad-templates
- optfeature "Extended documentation" app-doc/kicad-doc
- optfeature "Creating 3D models of components" media-gfx/wings
-
- xdg_desktop_database_update
- xdg_mimeinfo_database_update
- xdg_icon_cache_update
-}
-
-pkg_postrm() {
- xdg_desktop_database_update
- xdg_mimeinfo_database_update
- xdg_icon_cache_update
-}
diff --git a/sci-electronics/magic/Manifest b/sci-electronics/magic/Manifest
index 3f5700f88fbb..a95a394a75dd 100644
--- a/sci-electronics/magic/Manifest
+++ b/sci-electronics/magic/Manifest
@@ -1,11 +1,5 @@
AUX magic-8.3.232-libdir.patch 525 BLAKE2B 5b85f02031d18299ae098b5ff45163d1c6d16f6bbd09996df6c669571ea82820175356c41b308f5055af874effa946f91baae90c43212e8e7adf40800799c8c3 SHA512 9b7018e05ab50db82996df57891642dfe4f00b74edd8f942912f750be91017a7d204fd0bf2271cee8082cfef9c903bdb4d8606979f6b2ef201b9d7ab1cdc523a
-AUX magic-8.3.232-verbose-build.patch 1041 BLAKE2B 80ab96d751ff2294e6d82f75e997ecc1ccc00588eae3a6c0d63d49a134567eae864f3a42c46c6a6e0f6b1a53473df30afefbc9a7e48385a4ea9b780523ee0ca0 SHA512 19f3b6c371efccebadb6fa85f479ec4fa32fb7a4e11af58630e7265f19f598fdfb6c0008cf500de601246a6316ccf173f96dafdcca28bbef4e09658989d67a3a
-DIST magic-8.3.232.tgz 4093446 BLAKE2B 6b4b49c2b1f566b0173bead0e95e381a6632b049545dc3b26572f415ba0df3958bc5c3931b686718136185cf105e437fe70dc1f71a11707ad33afac80ddf8f2f SHA512 755b27b8f263d990ca86b3f043e1b8f8a2de75d72f1baf9c4de3a1d7cde28feecf093d8bf1497f2632327d09ce0ce0b17ccea46bdba01c5b53c52ea0f5cf5fdc
-DIST magic-8.3.309.tgz 4175194 BLAKE2B dc63e74abf1a0fee75b1b018f58f73f0ed4ba7acb244aa0f427af1d4051a3344892ee8514a5f99827bd10c8ff44eac907a70150855db48fb97d0e4d436273893 SHA512 1abfd843f3d123bf532672c5e8c58d2c0f50e29ab017c21e4895810163eec430ba07c3b0dd8c571e47f1ce3bacfd37aa4cc667e4cecb3d53b3b7dd1c8205d8c2
DIST magic-8.3.365.tgz 4206213 BLAKE2B 2a50843c166f55471db13400c047cc5b32e762ad90cefb170c03874427ebce3452a6f13ff1524897672bbd7418d9ace2366d22fba9641310882f505d3463c19f SHA512 8ac3933ae74f5953dfbcfaaeaddb8fded36aa9fe2e371808c53edb96f758451b7d75fd6c47666ae0624de5dbab335f6a2990427e1135165360ddc5ed8a33954d
DIST magic-tech-mosis-2002a.tar.gz 6601961 BLAKE2B e34bc281b3f1bc032ba14954b781c8b0055bb9125c57944a5b5f595f8b22feb455c60f3230cf04f06bc41aa807e909d900e3d3ab746076e2c99dcbbdf51a71df SHA512 cb8e30df77e58eb37f7ada775db8a8411612897b1cdbcb59b0c4bd814fa73c6cb10a5461e27e9eecb0fe452e194ee95fbb02b568b86848e501b9c37cc24de39e
-EBUILD magic-8.3.232-r1.ebuild 2245 BLAKE2B 763ba17c9caa35a3dfb22ba878112f6a4398483f5187105fc474629ec8054753b9b3534f5d57ea51ad6a3515ac9a89973c6e2014d7892b81a38d4bb0f8e63099 SHA512 f558458beee5a56a5fe4a79fce2cfe6387bfb64e8e19c09909e543d6b88db7ccc7baaf567dea9791e79ee52d4e192bbef71e76f8d5c3a5d5ed9944df955e55dd
-EBUILD magic-8.3.309-r2.ebuild 2421 BLAKE2B e03d49534a2db6b2cc1950971996a467f36b1c1b9171a36c5c38ae2f8e10c8b60d73c8bd0b8b5cbe416436af8cf996fc1c54d3def8cd0b0b09e64ebb0aed7c03 SHA512 b1b801eb6566b904d526e15ff0f02c85c47aa95aeed6e0190822ea99cdcb9113a96addb923b03712d8b3876c7a07b0adac1d7870c1d9f1c3597ec639f93aff27
-EBUILD magic-8.3.309-r3.ebuild 2532 BLAKE2B 06476e33d83418437318769662e6d4414e2d38aa46dcfa5c11c0d44c129ea2241aec5dbeb64f646a163f9e5ff14bcd30ec929b06d8dfe5001df0ffd9baacc571 SHA512 18858aa02cdff1642f56c8bd92a8a31dce0169bf1500aea8867706b689c1ea8b03784d0915bdd9b7e77f6e9e807325a724cb54aeab0951f180961eb5b0d12020
-EBUILD magic-8.3.365.ebuild 2585 BLAKE2B 492382d5f7a612bc1fe4bdaf8a238b2b0629c55ee8fceb4c11c673cbf6938f171f700b453a2bb8c645d6ea90884c906b461ef883bf40bd83b9cff797cffe5afe SHA512 1734ab934d22059c473b41c5280a1e8d5f1941349d0093494db2705852b5730350409af20a7b12fc12f2c4d4fe8bbfe78e84bee73c58800d78ba2d50666a2168
+EBUILD magic-8.3.365.ebuild 2586 BLAKE2B 88e4914e9021d79ba81518bb6abfa63a1cfc1328dbc8f3b99f398da7596b01889d47f0a9ebcefc84a8a6a6fab757b7a5f544279c32dd3dcf0535fd710c09c87e SHA512 192c79bb00ac1c83a02765d292add0c992b30acc4e7f3599752ca10d3629b8c22eb22ae31bf7e68b487b54826a404f9fa18f39a18ddc4b177871a76ba724355a
MISC metadata.xml 1381 BLAKE2B 7f9458b2f3e6c1f511b1f5a0f8f31e58114e29216eaf3927a6570f16bfab24fb357a82b432414a28a16fde8f02a00fafa5b5d915681a68c621b11202dbfc3d32 SHA512 b9cd12c6e4704bde2aa963880e9321fd64239b7f9333a7daa74be6707001ed18ac61bc48dc8f85cfeac9a427e07a3bcfe07607662d206d0f5d75753e333d2632
diff --git a/sci-electronics/magic/files/magic-8.3.232-verbose-build.patch b/sci-electronics/magic/files/magic-8.3.232-verbose-build.patch
deleted file mode 100644
index 8455d7bb1931..000000000000
--- a/sci-electronics/magic/files/magic-8.3.232-verbose-build.patch
+++ /dev/null
@@ -1,35 +0,0 @@
---- a/Makefile
-+++ b/Makefile
-@@ -18,12 +18,10 @@
- all: $(ALL_TARGET)
-
- standard:
-- @echo --- errors and warnings logged in file make.log
-- @${MAKE} mains 2>&1 | tee -a make.log | egrep -i "(.c:|Stop.|---)"
-+ @${MAKE} mains
-
- tcl:
-- @echo --- errors and warnings logged in file make.log
-- @${MAKE} tcllibrary 2>&1 | tee -a make.log | egrep -i "(.c:|Stop.|---)"
-+ @${MAKE} tcllibrary
-
- force: clean all
-
-@@ -68,7 +66,7 @@
- install-magic:
- @echo --- installing executable to $(DESTDIR)${INSTALL_BINDIR}
- @echo --- installing runtime files to $(DESTDIR)${INSTALL_LIBDIR}
-- @${MAKE} install-real 2>&1 >> install.log
-+ @${MAKE} install-real
-
- install-real: install-dirs
- for dir in ${INSTALL_CAD_DIRS}; do \
-@@ -89,7 +87,7 @@
- install-tcl:
- @echo --- installing executable to $(DESTDIR)${INSTALL_BINDIR}
- @echo --- installing runtime files to $(DESTDIR)${INSTALL_LIBDIR}
-- @${MAKE} install-tcl-real 2>&1 >> install.log
-+ @${MAKE} install-tcl-real
-
- install-tcl-real: install-tcl-dirs
- for dir in ${INSTALL_CAD_DIRS} ${PROGRAMS}; do \
diff --git a/sci-electronics/magic/magic-8.3.232-r1.ebuild b/sci-electronics/magic/magic-8.3.232-r1.ebuild
deleted file mode 100644
index 0dff7656a79f..000000000000
--- a/sci-electronics/magic/magic-8.3.232-r1.ebuild
+++ /dev/null
@@ -1,84 +0,0 @@
-# Copyright 1999-2023 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=8
-
-TECH_MOSIS_VER="2002a"
-
-DESCRIPTION="The VLSI design CAD tool"
-HOMEPAGE="http://www.opencircuitdesign.com/magic/index.html"
-SRC_URI="http://www.opencircuitdesign.com/${PN}/archive/${P}.tgz
- http://opencircuitdesign.com/~tim/programs/${PN}/archive/${TECH_MOSIS_VER}.tar.gz \
- -> ${PN}-tech-mosis-${TECH_MOSIS_VER}.tar.gz"
-
-LICENSE="HPND GPL-2+"
-SLOT="0"
-KEYWORDS="amd64 ~ppc ~x86"
-IUSE="cairo debug opengl"
-
-RDEPEND="sys-libs/ncurses:0=
- sys-libs/readline:0=
- dev-lang/tcl:0=
- dev-lang/tk:0=
- dev-tcltk/blt
- cairo? ( x11-libs/cairo )
- opengl? (
- virtual/glu
- virtual/opengl
- )"
-DEPEND="${RDEPEND}"
-BDEPEND="app-shells/tcsh"
-
-PATCHES=(
- "${FILESDIR}"/${PN}-8.3.232-libdir.patch
- "${FILESDIR}"/${PN}-8.3.232-verbose-build.patch
-)
-
-DOCS=( README.md README.Tcl TODO )
-
-src_prepare() {
- default
-
- pushd scripts &>/dev/null || die
- mv configure.in configure.ac || die
- popd &>/dev/null || die
-
- sed -i -e "s: -pg : :" tcltk/Makefile || die
-
- # required for >=autoconf-2.70 (bug #775422)
- local ac_aux_file
- for ac_aux_file in install-sh config.guess config.sub ; do
- ln -s scripts/${ac_aux_file} ${ac_aux_file} || die
- done
-}
-
-src_configure() {
- # Short-circuit top-level configure script to retain CFLAGS
- # fix tcl/tk detection #447868
- cd scripts || die
- econf \
- --with-tcl=yes \
- --with-tcllibs="/usr/$(get_libdir)" \
- --with-tklibs="/usr/$(get_libdir)" \
- --disable-modular \
- $(use_enable debug memdebug) \
- $(use_enable cairo cairo-offscreen) \
- $(use_with opengl)
-}
-
-src_install() {
- default
-
- # Move docs from libdir to docdir and add symlink.
- mv "${ED}/usr/$(get_libdir)/magic/doc"/* "${ED}/usr/share/doc/${PF}/" || die
- rmdir "${ED}/usr/$(get_libdir)/magic/doc" || die
- dosym -r "${EPREFIX}/usr/share/doc/${PF}" "/usr/$(get_libdir)/magic/doc"
-
- # Move tutorial from libdir to datadir and add symlink.
- dodir /usr/share/${PN}
- mv "${ED}/usr/$(get_libdir)/magic/tutorial" "${ED}/usr/share/${PN}/" || die
- dosym -r "${EPREFIX}/usr/share/${PN}/tutorial" "/usr/$(get_libdir)/magic/tutorial"
-
- # Install latest MOSIS tech files
- cp -pPR "${WORKDIR}"/${TECH_MOSIS_VER} "${ED}"/usr/$(get_libdir)/magic/sys/current || die
-}
diff --git a/sci-electronics/magic/magic-8.3.309-r2.ebuild b/sci-electronics/magic/magic-8.3.309-r2.ebuild
deleted file mode 100644
index b615a42ae11d..000000000000
--- a/sci-electronics/magic/magic-8.3.309-r2.ebuild
+++ /dev/null
@@ -1,92 +0,0 @@
-# Copyright 1999-2023 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=8
-
-PYTHON_COMPAT=( python3_{9..11} )
-
-inherit python-any-r1
-
-TECH_MOSIS_VER="2002a"
-
-DESCRIPTION="The VLSI design CAD tool"
-HOMEPAGE="http://www.opencircuitdesign.com/magic/index.html"
-SRC_URI="http://www.opencircuitdesign.com/${PN}/archive/${P}.tgz
- http://opencircuitdesign.com/~tim/programs/${PN}/archive/${TECH_MOSIS_VER}.tar.gz \
- -> ${PN}-tech-mosis-${TECH_MOSIS_VER}.tar.gz"
-
-LICENSE="HPND GPL-2+"
-SLOT="0"
-KEYWORDS="~amd64 ~ppc ~x86"
-IUSE="cairo debug opengl"
-
-RDEPEND="
- sys-libs/ncurses:0=
- sys-libs/readline:0=
- sys-libs/zlib:=
- dev-lang/tcl:0=
- dev-lang/tk:0=
- dev-tcltk/blt
- cairo? ( x11-libs/cairo )
- opengl? (
- virtual/glu
- virtual/opengl
- )
-"
-DEPEND="${RDEPEND}"
-BDEPEND="app-shells/tcsh
- ${PYTHON_DEPS}"
-
-PATCHES=(
- "${FILESDIR}"/${PN}-8.3.232-libdir.patch
-)
-
-DOCS=( README.md README.Tcl TODO )
-
-src_prepare() {
- default
-
- pushd scripts &>/dev/null || die
- mv configure.in configure.ac || die
- popd &>/dev/null || die
-
- # required for >=autoconf-2.70 (bug #775422)
- local ac_aux_file
- for ac_aux_file in install-sh config.guess config.sub ; do
- ln -s scripts/${ac_aux_file} ${ac_aux_file} || die
- done
-}
-
-src_configure() {
- # Short-circuit top-level configure script to retain CFLAGS
- # Fix tcl/tk detection, bug #447868
- cd scripts || die
- econf \
- --with-tcl="/usr/$(get_libdir)" \
- --with-tk="/usr/$(get_libdir)" \
- --with-tcllibs="/usr/$(get_libdir)" \
- --with-tklibs="/usr/$(get_libdir)" \
- --disable-modular \
- $(use_enable debug memdebug) \
- $(use_enable cairo cairo-offscreen) \
- $(use_with opengl)
-}
-
-src_install() {
- # Make does not always install required .tech files with parallel make install
- emake DESTDIR="${ED}" install -j1
- einstalldocs
-
- # Move docs from libdir to docdir and add symlink.
- mv "${ED}/usr/$(get_libdir)/magic/doc"/* "${ED}/usr/share/doc/${PF}/" || die
- rmdir "${ED}/usr/$(get_libdir)/magic/doc" || die
- dosym -r "${EPREFIX}/usr/share/doc/${PF}" "/usr/$(get_libdir)/magic/doc"
-
- # Move tutorial from libdir to datadir and add symlink.
- dodir /usr/share/${PN}
- mv "${ED}/usr/$(get_libdir)/magic/tutorial" "${ED}/usr/share/${PN}/" || die
- dosym -r "${EPREFIX}/usr/share/${PN}/tutorial" "/usr/$(get_libdir)/magic/tutorial"
-
- # Install latest MOSIS tech files
- cp -pPR "${WORKDIR}"/${TECH_MOSIS_VER} "${ED}"/usr/$(get_libdir)/magic/sys/current || die
-}
diff --git a/sci-electronics/magic/magic-8.3.309-r3.ebuild b/sci-electronics/magic/magic-8.3.309-r3.ebuild
deleted file mode 100644
index 1b40c505933c..000000000000
--- a/sci-electronics/magic/magic-8.3.309-r3.ebuild
+++ /dev/null
@@ -1,96 +0,0 @@
-# Copyright 1999-2023 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=8
-
-PYTHON_COMPAT=( python3_{9..11} )
-
-inherit python-any-r1
-
-TECH_MOSIS_VER="2002a"
-
-DESCRIPTION="The VLSI design CAD tool"
-HOMEPAGE="http://www.opencircuitdesign.com/magic/index.html"
-SRC_URI="http://www.opencircuitdesign.com/${PN}/archive/${P}.tgz
- http://opencircuitdesign.com/~tim/programs/${PN}/archive/${TECH_MOSIS_VER}.tar.gz \
- -> ${PN}-tech-mosis-${TECH_MOSIS_VER}.tar.gz"
-
-LICENSE="HPND GPL-2+"
-SLOT="0"
-KEYWORDS="~amd64 ~ppc ~x86"
-IUSE="cairo debug opengl"
-
-RDEPEND="
- sys-libs/ncurses:0=
- sys-libs/readline:0=
- sys-libs/zlib:=
- dev-lang/tcl:0=
- dev-lang/tk:0=
- dev-tcltk/blt
- cairo? ( x11-libs/cairo )
- opengl? (
- virtual/glu
- virtual/opengl
- )
-"
-DEPEND="${RDEPEND}"
-BDEPEND="app-shells/tcsh
- ${PYTHON_DEPS}"
-
-PATCHES=(
- "${FILESDIR}"/${PN}-8.3.232-libdir.patch
-)
-
-DOCS=( README.md README.Tcl TODO )
-
-src_prepare() {
- default
-
- # Don't embed MAGIC_COMMIT
- sed -i 's/git rev-parse HEAD//' scripts/defs.mak.in || die
-
- pushd scripts &>/dev/null || die
- mv configure.in configure.ac || die
- popd &>/dev/null || die
-
- # required for >=autoconf-2.70 (bug #775422)
- local ac_aux_file
- for ac_aux_file in install-sh config.guess config.sub ; do
- ln -s scripts/${ac_aux_file} ${ac_aux_file} || die
- done
-}
-
-src_configure() {
- # Short-circuit top-level configure script to retain CFLAGS
- # Fix tcl/tk detection, bug #447868
- cd scripts || die
- econf \
- --with-tcl="/usr/$(get_libdir)" \
- --with-tk="/usr/$(get_libdir)" \
- --with-tcllibs="/usr/$(get_libdir)" \
- --with-tklibs="/usr/$(get_libdir)" \
- --disable-modular \
- $(use_enable debug memdebug) \
- $(use_enable cairo cairo-offscreen) \
- $(use_with cairo) \
- $(use_with opengl)
-}
-
-src_install() {
- # Make does not always install required .tech files with parallel make install
- emake DESTDIR="${ED}" install -j1
- einstalldocs
-
- # Move docs from libdir to docdir and add symlink.
- mv "${ED}/usr/$(get_libdir)/magic/doc"/* "${ED}/usr/share/doc/${PF}/" || die
- rmdir "${ED}/usr/$(get_libdir)/magic/doc" || die
- dosym -r "${EPREFIX}/usr/share/doc/${PF}" "/usr/$(get_libdir)/magic/doc"
-
- # Move tutorial from libdir to datadir and add symlink.
- dodir /usr/share/${PN}
- mv "${ED}/usr/$(get_libdir)/magic/tutorial" "${ED}/usr/share/${PN}/" || die
- dosym -r "${EPREFIX}/usr/share/${PN}/tutorial" "/usr/$(get_libdir)/magic/tutorial"
-
- # Install latest MOSIS tech files
- cp -pPR "${WORKDIR}"/${TECH_MOSIS_VER} "${ED}"/usr/$(get_libdir)/magic/sys/current || die
-}
diff --git a/sci-electronics/magic/magic-8.3.365.ebuild b/sci-electronics/magic/magic-8.3.365.ebuild
index 295ffbfb144e..a2e076e9dd0b 100644
--- a/sci-electronics/magic/magic-8.3.365.ebuild
+++ b/sci-electronics/magic/magic-8.3.365.ebuild
@@ -1,9 +1,9 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
-PYTHON_COMPAT=( python3_{9..11} )
+PYTHON_COMPAT=( python3_{10..12} )
inherit python-any-r1
diff --git a/sci-electronics/nvc/Manifest b/sci-electronics/nvc/Manifest
index 86fc39452670..fa58a007fcda 100644
--- a/sci-electronics/nvc/Manifest
+++ b/sci-electronics/nvc/Manifest
@@ -1,6 +1,6 @@
AUX nvc-1.9.2-jit-code-capstone.patch 200 BLAKE2B f819ef52f6f47b5c2c04d7c938c82a439dc111daffad1ec92506b3d15daa6eb2182fb5384edff8ca76b3120a62085af8ddd01cf7092bea66eb37213985fd90b5 SHA512 11b9be76fb07893fa95b17006fc694faea30c522454f16183b18aa43c772d38098ba5c558ed331203530fd1eb44a37a0c85cff5f3f89d9410164cad29b635375
-DIST nvc-1.12.1.tar.gz 1931464 BLAKE2B 7081387b81afd20d05ad69d8e29c6549e286d4b5e90ca7e044ddcc8df80d1db7a3a398b11303c7b2e90cf8863e3b7a910530b353c6664d03c2be0e18ee40379f SHA512 33df2d4be7938be381f763f33b95b09a49f5d10d3b240f280379e83d367c05f886a867fdd945acc23debb1652b52a76ca2ec0c338d87b146a02ed2c858a8960b
-DIST nvc-1.12.2.tar.gz 1932149 BLAKE2B 6a71c9bb5ea5254c6b1d2283e4f3e14f13c8399204810cbbc05fae25b50cd5307cb3cdbf101c129dbc1863a135e493fafd8672903665eb4f5f3c9a95c6fcaf82 SHA512 d386cd32533d60c0ab795955a376a4c0de4b35d2ce7fdbda9e4f4c79605fb8e0422ddee574204f1f8a951caf0d87e4446ebb28ff5b3352644307c0c13bbe8765
-EBUILD nvc-1.12.1.ebuild 1968 BLAKE2B 55d571f0da8264c886f71a30347c5131e8646af8990d40bbec6f8fa3c692a2716956bab5158e73d89e6586abce05c5ff4eaa836bd0ebce6ab63c70dc382928d4 SHA512 00f9248753f6db90901d986e7eaac7ca51efaedb40abc4e3b071e3dfcef04024e9b9f92540d9cfe8e5bf4952faf8db1a2de4946d8ece7fc6f4b3ae7d6ef13406
-EBUILD nvc-1.12.2.ebuild 1968 BLAKE2B 55d571f0da8264c886f71a30347c5131e8646af8990d40bbec6f8fa3c692a2716956bab5158e73d89e6586abce05c5ff4eaa836bd0ebce6ab63c70dc382928d4 SHA512 00f9248753f6db90901d986e7eaac7ca51efaedb40abc4e3b071e3dfcef04024e9b9f92540d9cfe8e5bf4952faf8db1a2de4946d8ece7fc6f4b3ae7d6ef13406
+DIST nvc-1.13.0.tar.gz 1961847 BLAKE2B 5cb2e99baeb0c190ccd83535f7a5114327410b80339448eb50188147f2160a0320edaa612579c77327d7b67d51c7e312ebed6ac07bfe3ab636b3322baf050f53 SHA512 c93fa48dda45de734dafa996eda0b924f11c497feeee5e19b49be22594dcd9324abfe2e1d31c706f2d058950cd2525af46a3aa5ac61506628c3dc7af73685a39
+DIST nvc-1.13.1.tar.gz 1958325 BLAKE2B 13b8a6fdb330b23d0a6fbf26b6ba770f15d66a1c62c4491b42b0ed4aa5acc8be580f8617f313c79accef94c12f9890162d49e3997bb405dcc4c1db0564c8a940 SHA512 49ac03c5c404b899061a5a45650561fe5e60f48d89afde733a315c0d2e6e5cad00db136864d161eab7c2aa375f8d880b520d8cc744e8b2ec984096b91d3aafad
+EBUILD nvc-1.13.0.ebuild 1968 BLAKE2B 55d571f0da8264c886f71a30347c5131e8646af8990d40bbec6f8fa3c692a2716956bab5158e73d89e6586abce05c5ff4eaa836bd0ebce6ab63c70dc382928d4 SHA512 00f9248753f6db90901d986e7eaac7ca51efaedb40abc4e3b071e3dfcef04024e9b9f92540d9cfe8e5bf4952faf8db1a2de4946d8ece7fc6f4b3ae7d6ef13406
+EBUILD nvc-1.13.1.ebuild 1992 BLAKE2B 30ebca75a733a863a3c3aac5b531514e3ca8eec216733fca2b6c61d11f234a01b19edfa060408889bc196ee5478e289f09ad9cfe43ec008b36f8eb3141b75100 SHA512 5ec7253f7dce95fbff143b4bcca7129aaf73f1bec14da924882af55931c790457bfc5bd9f1cbb1ab6dcbeb404f5548623ef72149e4c40dda9bfccc6567d070bb
MISC metadata.xml 1226 BLAKE2B 128540883638e57fd16a3e1620a49cc6dae4db49fcb5b6863007f9cda3af8801a2f47d0c2bf400727fde7e88b22c9c06b5c8cc8c073e150ad3046f8b37b098a2 SHA512 20ac4aa36dd420487eefa409de17ea2351f4225bf864d82817e201fc452dd9efc4ced9e6e7bae35eaf4901eb66d25da6463c82f7802a698336c5e8be6a053286
diff --git a/sci-electronics/nvc/nvc-1.12.1.ebuild b/sci-electronics/nvc/nvc-1.13.0.ebuild
index dd4ecc425726..dd4ecc425726 100644
--- a/sci-electronics/nvc/nvc-1.12.1.ebuild
+++ b/sci-electronics/nvc/nvc-1.13.0.ebuild
diff --git a/sci-electronics/nvc/nvc-1.12.2.ebuild b/sci-electronics/nvc/nvc-1.13.1.ebuild
index dd4ecc425726..7beab1f0813a 100644
--- a/sci-electronics/nvc/nvc-1.12.2.ebuild
+++ b/sci-electronics/nvc/nvc-1.13.1.ebuild
@@ -3,9 +3,9 @@
EAPI=8
-LLVM_MAX_SLOT=16
+LLVM_COMPAT=( {17..18} )
-inherit autotools bash-completion-r1 llvm
+inherit autotools bash-completion-r1 llvm-r1
DESCRIPTION="NVC is a VHDL compiler and simulator"
HOMEPAGE="https://www.nickg.me.uk/nvc/
@@ -44,7 +44,9 @@ RDEPEND="
sys-libs/ncurses:=
sys-libs/zlib:=
llvm? (
- <sys-devel/llvm-$((${LLVM_MAX_SLOT} + 1)):=
+ $(llvm_gen_dep '
+ sys-devel/llvm:${LLVM_SLOT}=
+ ')
)
"
DEPEND="
@@ -62,7 +64,7 @@ PATCHES=( "${FILESDIR}/nvc-1.9.2-jit-code-capstone.patch" )
QA_FLAGS_IGNORED="usr/lib[0-9]*/nvc/preload[0-9]*.so"
pkg_setup() {
- use llvm && llvm_pkg_setup
+ use llvm && llvm-r1_pkg_setup
}
src_unpack() {
diff --git a/sci-electronics/qelectrotech/Manifest b/sci-electronics/qelectrotech/Manifest
index f3b80fa88a77..d733da4d6464 100644
--- a/sci-electronics/qelectrotech/Manifest
+++ b/sci-electronics/qelectrotech/Manifest
@@ -1,6 +1,6 @@
AUX qelectrotech-0.80-fix-paths.patch 2412 BLAKE2B 66ec413d1f69f1621dc9776afa91f78b7fa0d17aece0ea0a122616503319ec98df653b166f81196b8d891f327e024a8e19207e3c9ef8567038d186b1440babb2 SHA512 828d0677b1d5a0ef4049d3fc7c9fbc47dfa810252c881a5f1c7e2841f9676710b69ce1a001cd6b44e28980445782affd948cd8626e43e37f020a2247ac31f3e5
AUX qelectrotech-0.80-nomancompress.patch 436 BLAKE2B 9f8d5491c4076e8cf1fea993180cddaf7a1f6d34556a75131c2a4799bd54228ce5936145c30f0edf33626c90eb9fcb3134ccde0685ce6091706617ccd408dd99 SHA512 6de49a59a605bff6f9eb3d375f698adbb15deec77a3d2283ba53fe63e73ec23098baa6dc5530f0f2c9f92de8f400d66e71c6024d7929f1b2a343720afe54597a
DIST qelectrotech-0.80.tar.gz 13835653 BLAKE2B 1efb22c9683c01c3cc449a3c1deef1990825b16f11dc15d93e2d7c0afd026486ee9772e0d8637efcb576fb20a8dc79235cdcabc94d293b9bf8c2028818645734 SHA512 732101130e77849ed0a56bcc7b519a1ca032e8f203951a50e1dd5fd488e96f1ab69ed0854176604f1947636b3d8fda1ac8aebbcfe01e63dfa263d8f646224f53
-EBUILD qelectrotech-0.80.ebuild 1425 BLAKE2B 0c5418cb9db83a15eb1ba742f1daa1641280233b19ec66cbe1e821017e3c1a389cef68a40924de38e5e85f0fd047c96cd52abe849d6f769a66d937e801042a44 SHA512 626c5382d945c354d7bb3bb746dda2df4c26fa079b161d851fa0cb42e927155dbe3af4260cc7324052959a4e236d046c1b317ed80d2428c67cd619aed34e0929
+EBUILD qelectrotech-0.80.ebuild 1432 BLAKE2B eaee4a81ad34830b443aa78512597c60ff48c73fed034082d199e551ec518e59a0a94e554219b292005cd7854f39508a4d4acfb5e5408d7d209c75229f7fc735 SHA512 28b4af00631d85aaad5d440edc84784ee0cc4cbae4242ae3ccae5bcc895f114fb47f44ff75993e73e4470f4174df808b5f648f8260b37db6d56c90490ba0889e
EBUILD qelectrotech-9999.ebuild 1438 BLAKE2B b2af6cef1a0e55cf6393a220e163d0c13bbc7876cc15c97b57877cf8e3a6ad939b0db6121c2263eeb1bc2a01b0b1aced8b00df7e13a91b9c24238d878bf07355 SHA512 dad38c9c85f906a44ef4f17631ad0b19243e8dbd1cdea5934647d78babd5fcc2f57866ff856e78f4243ca18db135c8657afc9f8f1ee6f0b5622fd3ba005af1c8
MISC metadata.xml 168 BLAKE2B 2e0e000b4c3b6ca04c12903fdbe278415c05a822623c52e9aa95cbbf3d50bcb1246b7edbda7d2f6b559af8950c6374e6e0a69b76319964cfe686bf50b0604a57 SHA512 4dcf45d1809e8390a2d8155c8ebfe0dd610203e392aeab0ccd8a10f42cc8532a4925eff32b35e7a6c35598a4efd288229034ec0732299dbd8cfa0acff705fed3
diff --git a/sci-electronics/qelectrotech/qelectrotech-0.80.ebuild b/sci-electronics/qelectrotech/qelectrotech-0.80.ebuild
index 2776c5129585..9f04e6f2ee33 100644
--- a/sci-electronics/qelectrotech/qelectrotech-0.80.ebuild
+++ b/sci-electronics/qelectrotech/qelectrotech-0.80.ebuild
@@ -14,7 +14,7 @@ if [[ ${PV} == *9999* ]]; then
else
MY_P=qet-${PV/%0/.0}
SRC_URI="https://git.tuxfamily.org/qet/qet.git/snapshot/${MY_P}.tar.gz -> ${P}.tar.gz"
- KEYWORDS="amd64 ~x86"
+ KEYWORDS="amd64 ~arm64 ~x86"
S="${WORKDIR}"/${MY_P}
fi
diff --git a/sci-electronics/vbs/Manifest b/sci-electronics/vbs/Manifest
deleted file mode 100644
index c5044d0320fb..000000000000
--- a/sci-electronics/vbs/Manifest
+++ /dev/null
@@ -1,6 +0,0 @@
-AUX vbs-1.4.0-const_cast.patch 295 BLAKE2B 446553c415a05df0d4fc94fd50145d47fd56af215f4dd6b00175e260ee12e854c7f0c272e052ad1ab6cfd63fc511e52f6b7cd2ce808c2ae58659ab98c1b23c59 SHA512 4ea4545d1e6c19c0648f9cff426c8af7aed22af59998c47e8bd4d23cbfdc09534b017ad996143ee079ca34c712afcecc2fc7b2ad706e684b017d6737175905e9
-AUX vbs-1.4.0-gcc-4.1.patch 2606 BLAKE2B f5bf38ba6a943011847cedc9a6a5f1a36c12839f9e29648052066380e796a92585122fb88552d120bea1e6645b1adbffda2ae31f24a67244c622da1f0f1b6f60 SHA512 e6c59f855b88a2f2057c4409824ba6febd58473f1ddf7a9390b030dabbab53f8ce67d3c8e8b1f551a6cc219634649f542a740b7c5bafeaa7c46a92feef1e254b
-AUX vbs-1.4.0-gcc-4.3.patch 512 BLAKE2B d5b6a7123446db98cf3b31bc49b2e567e8c0a4804add662f87f68d84d15fd85031144cf536c33f6dcd628e3bd16eab137cee84efbe0d1f4eaf7db6948124cf3c SHA512 49e76e1cccbbe2171f0b821aa2c8a45666388331c5a8df378a255556bd534654e3c6135b77237ec734ab0a130c2db754166a367dd538860dd9ecf1ca8a057cfd
-DIST vbs-1.4.0.tar.gz 275974 BLAKE2B a2a3b9654edefb52e22be166650b17959a72e2b1b6c1bb25708b52c33b3f33476f10b542b553959ae2310a7adcb328d5fa129ac34c6c6b6e62ca0a8880b3ff68 SHA512 78320f650d8f9f558143d0c13fd47697a738f540fa5f6e8718e6e9fdef67f794189b18c214be68d19a9a745527b57abb54e94fadfc8e83289a95e1ae48e41384
-EBUILD vbs-1.4.0.ebuild 730 BLAKE2B 459719b0d29c80cfe3ebc3b57caa33d1710c164faba0b8f386cdd1e6b610391075bbc5b543cc50343d079528eb26d2c46d88e736f120413b92118b3ea2f01396 SHA512 40a8f7bd0a318bd10656dbe6eb034b22c9c091f8189711c782d33375b867697ba619feddcea17244c37b65e008d27a68719f289c9c3434566053cdb345ae85a4
-MISC metadata.xml 528 BLAKE2B 39f6531a355025c6428edc273194aff3947ad275499f50bf6563cc9650576511341856b874fd6008ce146a1c276fd489f2330d8d6c76beba38d1d2121707addf SHA512 208b4f63d4e14b22123203b2806da6a91215bc5fd899dfd0ecfabadc55bdd4ba9c391a0b138ee4083c84723b9e8a41694a4c1ddbf6a108032c1073464c8385d3
diff --git a/sci-electronics/vbs/files/vbs-1.4.0-const_cast.patch b/sci-electronics/vbs/files/vbs-1.4.0-const_cast.patch
deleted file mode 100644
index 796aba52bb76..000000000000
--- a/sci-electronics/vbs/files/vbs-1.4.0-const_cast.patch
+++ /dev/null
@@ -1,11 +0,0 @@
---- a/common/scp_tab.cc
-+++ b/common/scp_tab.cc
-@@ -148,7 +148,7 @@
- nm.replace(0,top.length()+1,"");
- const char * n = nm.c_str();
- size_t full, sub,diff;
-- char * last_dot = strrchr(n,'.');
-+ char * last_dot = const_cast<char*>(strrchr(n,'.'));
- char * ret = 0;
- if (last_dot != 0)
- {
diff --git a/sci-electronics/vbs/files/vbs-1.4.0-gcc-4.1.patch b/sci-electronics/vbs/files/vbs-1.4.0-gcc-4.1.patch
deleted file mode 100644
index b017e9e05dcf..000000000000
--- a/sci-electronics/vbs/files/vbs-1.4.0-gcc-4.1.patch
+++ /dev/null
@@ -1,105 +0,0 @@
---- a/common/bvector.h
-+++ b/common/bvector.h
-@@ -90,9 +90,9 @@
- size_type _size; // Size of this sub-bit vector.
-
- // Only bit_vector can create a sub_bit_vector object.
-+ public:
- sub_bit_vector(bit_vector &, const position_type, const position_type);
- sub_bit_vector(const sub_bit_vector &);
-- public:
- sub_bit_vector &operator=(const sub_bit_vector &);
- sub_bit_vector &operator=(const const_sub_bit_vector &);
- sub_bit_vector &operator=(const bit_vector &);
-@@ -116,8 +116,8 @@
-
- // Only bit_vector can create a sub_bit_vector object.
- const_sub_bit_vector(const bit_vector &, const position_type, const position_type);
-- const_sub_bit_vector(const const_sub_bit_vector &);
- public:
-+ const_sub_bit_vector(const const_sub_bit_vector &);
- size_type size() const
- { return _size; }
- ostream_type &info(ostream_type &) const;
---- a/common/logic.h
-+++ b/common/logic.h
-@@ -44,6 +44,10 @@
- { return (_state == HI) ? true : false; }
- operator int() const
- { return _state; }
-+
-+ operator long int() const
-+ { return _state; }
-+
- operator char() const
- {
- switch (_state)
---- a/common/st_net.h
-+++ b/common/st_net.h
-@@ -133,4 +133,7 @@
- const st_net &_net;
- };
-
-+void entry_iovars(st_net::io_list &, st_net::arg_list &);
-+void exit_iovars(st_net::io_list &, st_net::arg_list &);
-+
- #endif // _ST_NET_H
---- a/expr/erdwr.cc
-+++ b/expr/erdwr.cc
-@@ -25,8 +25,9 @@
- expr_base *
- read_expr::operator()() const
- {
-- VBSOBJ_EXPR_TYPE type;
-- _in >> (int &) type;
-+ int type_num = 0;
-+ _in >> type_num;
-+ VBSOBJ_EXPR_TYPE type = VBSOBJ_EXPR_TYPE(type_num);
- long ln;
- _in >> ln;
- expr_base *expr = 0;
---- a/Makefile.in
-+++ b/Makefile.in
-@@ -329,13 +329,15 @@
- rm -f *.o
- rm -f *.a
- rm -f *.so
-+ rm -f vbs
-
- clean-repo:
- if test -d ptrepository; then rm -rf ptrepository; fi
- rm -f *.rpo
-
- install:
-- $(INSTALL) vbs $(bindir)
-+ $(INSTALL) -d $(DESTDIR)$(bindir)
-+ $(INSTALL) vbs $(DESTDIR)$(bindir)
-
- tarball:
- @echo "===== Tar ball ======"
---- a/misc/mrdwr.cc
-+++ b/misc/mrdwr.cc
-@@ -21,8 +21,9 @@
- module *
- read_module::operator()() const
- {
-- VBSOBJ_MISC_TYPE type;
-- _in >> (int &) type;
-+ int type_num = 0;
-+ _in >> type_num;
-+ VBSOBJ_MISC_TYPE type = VBSOBJ_MISC_TYPE(type_num);
- if (type != VBSOBJ_MISC_MODULE)
- {
- vbs_err.set_data(vbs_error::SE_VBSOBJ, -1);
-@@ -129,8 +130,9 @@
- port *
- read_port::operator()() const
- {
-- VBSOBJ_MISC_TYPE type;
-- _in >> (int &) type;
-+ int type_num = 0;
-+ _in >> type_num;
-+ VBSOBJ_MISC_TYPE type = VBSOBJ_MISC_TYPE(type_num);
- if (type != VBSOBJ_MISC_PORT)
- {
- vbs_err.set_data(vbs_error::SE_VBSOBJ, -1);
diff --git a/sci-electronics/vbs/files/vbs-1.4.0-gcc-4.3.patch b/sci-electronics/vbs/files/vbs-1.4.0-gcc-4.3.patch
deleted file mode 100644
index 1bb55d824e7a..000000000000
--- a/sci-electronics/vbs/files/vbs-1.4.0-gcc-4.3.patch
+++ /dev/null
@@ -1,30 +0,0 @@
---- a/common/dumpstrm.cc
-+++ b/common/dumpstrm.cc
-@@ -13,6 +13,7 @@
-
- #include <cstdio> // sprintf
- #include <iostream>
-+#include <cstdlib>
- #include "dumpstrm.h"
-
- using std::cerr;
---- a/common/logic.h
-+++ b/common/logic.h
-@@ -18,6 +18,7 @@
-
- #ifndef _LOGIC_H
- #define _LOGIC_H
-+#include <cstdlib>
-
- class logic
- {
---- a/common/bvector.h
-+++ b/common/bvector.h
-@@ -30,6 +30,7 @@
- #include <string>
- #include <utility>
- #include <iostream>
-+#include <cstring>
- #include "common/logic.h"
-
- class bit_vector
diff --git a/sci-electronics/vbs/metadata.xml b/sci-electronics/vbs/metadata.xml
deleted file mode 100644
index 400878cd0df2..000000000000
--- a/sci-electronics/vbs/metadata.xml
+++ /dev/null
@@ -1,13 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<!DOCTYPE pkgmetadata SYSTEM "https://www.gentoo.org/dtd/metadata.dtd">
-<pkgmetadata>
- <maintainer type="project">
- <email>sci-electronics@gentoo.org</email>
- <name>Gentoo Electronics Project</name>
- </maintainer>
- <longdescription>
- This program is a simple implementation of a Verilog simulator. VBS tries to
- implement all of the Verilog behavioral constructs that are synthesizable, but
- still allow complex test vectors for simulation.
- </longdescription>
-</pkgmetadata>
diff --git a/sci-electronics/vbs/vbs-1.4.0.ebuild b/sci-electronics/vbs/vbs-1.4.0.ebuild
deleted file mode 100644
index 708c167a2fcf..000000000000
--- a/sci-electronics/vbs/vbs-1.4.0.ebuild
+++ /dev/null
@@ -1,40 +0,0 @@
-# Copyright 1999-2024 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=6
-
-DESCRIPTION="vbs - the Verilog Behavioral Simulator"
-HOMEPAGE="http://www.geda.seul.org/tools/vbs/index.html"
-SRC_URI="http://www.geda.seul.org/dist/${P}.tar.gz"
-
-LICENSE="GPL-2"
-SLOT="0"
-KEYWORDS="~amd64 ~x86"
-IUSE=""
-
-DEPEND="
- app-alternatives/lex
- app-alternatives/yacc"
-RDEPEND=""
-
-S="${WORKDIR}/${P}/src"
-PATCHES=(
- "${FILESDIR}"/${P}-gcc-4.1.patch
- "${FILESDIR}"/${P}-gcc-4.3.patch
- "${FILESDIR}"/${P}-const_cast.patch
-)
-
-src_compile() {
- emake -j1 vbs
-}
-
-src_install() {
- dobin vbs
- cd .. || die
-
- einstalldocs
- dodoc CHANGELOG* CONTRIBUTORS vbs.txt
-
- insinto /usr/share/${PF}/examples
- doins -r EXAMPLES/.
-}