From 45300d09b3df70214801e9c52b14115d8aa042bb Mon Sep 17 00:00:00 2001 From: V3n3RiX Date: Sun, 26 Feb 2023 22:38:18 +0000 Subject: dev-lang/ghc : initial USE --- conf/intel/portage/package.use/00-dev-lang.package.use | 1 + 1 file changed, 1 insertion(+) (limited to 'conf/intel/portage/package.use') diff --git a/conf/intel/portage/package.use/00-dev-lang.package.use b/conf/intel/portage/package.use/00-dev-lang.package.use index fe8005b..eb72ea5 100644 --- a/conf/intel/portage/package.use/00-dev-lang.package.use +++ b/conf/intel/portage/package.use/00-dev-lang.package.use @@ -1,5 +1,6 @@ # This file has been automatically generated, do not edit. dev-lang/fpc source +dev-lang/ghc binary elfutils ghcmakebinary numa dev-lang/perl gdbm ithreads dev-lang/rust clippy rls rustfmt rust-src -system-llvm -- cgit v1.2.3