DEFINED_PHASES=compile install prepare DESCRIPTION=A program (and preload library) to fake system date EAPI=7 HOMEPAGE=https://packages.qa.debian.org/d/datefudge.html KEYWORDS=~alpha amd64 arm arm64 ~hppa ~ia64 ~m68k ~mips ppc ppc64 ~riscv ~s390 sparc x86 ~amd64-linux ~x86-linux ~ppc-macos ~x64-macos ~sparc-solaris ~x86-solaris LICENSE=GPL-2 SLOT=0 SRC_URI=mirror://debian/pool/main/d/datefudge/datefudge_1.24.tar.xz _eclasses_=multilib 97566c1a256d07b00848aa767e38a352 toolchain-funcs 9ea1c67b6f8315fdc2568abb674519aa _md5_=25c9526696d1d7957dd2cd10dfc6f4ea