DEFINED_PHASES=compile install prepare DESCRIPTION=Hardware RNG based on CPU timing jitter EAPI=8 HOMEPAGE=https://github.com/smuellerDD/jitterentropy-library INHERIT=flag-o-matic toolchain-funcs IUSE=static-libs KEYWORDS=~alpha ~amd64 ~arm ~arm64 ~ia64 ~mips ~ppc ~ppc64 ~riscv ~x86 LICENSE=BSD SLOT=0/3 SRC_URI=https://github.com/smuellerDD/jitterentropy-library/archive/v3.4.1.tar.gz -> jitterentropy-3.4.1.tar.gz _eclasses_=flag-o-matic 69394e25812406faa1f90edaf4969395 multilib 5ca4e49abed8e3a2f7b56920eadee157 toolchain-funcs 37a4612ce5522df5a100359a8dbc8491 _md5_=569d93980dbf52f3470b886390fdf30a