From 2771f79232c273bc2a57d23bf335dd81ccf6af28 Mon Sep 17 00:00:00 2001 From: V3n3RiX Date: Sun, 5 Dec 2021 02:47:11 +0000 Subject: gentoo resync : 05.12.2021 --- sci-electronics/Manifest.gz | Bin 8887 -> 8702 bytes sci-electronics/drahnr-oregano/Manifest | 2 +- .../drahnr-oregano/drahnr-oregano-0.84.40.ebuild | 2 +- sci-electronics/gazebo/Manifest | 6 +- sci-electronics/gazebo/gazebo-11.3.0-r2.ebuild | 83 ---------- sci-electronics/gazebo/gazebo-11.3.0-r3.ebuild | 83 ++++++++++ sci-electronics/gazebo/gazebo-11.5.1-r2.ebuild | 83 ---------- sci-electronics/gazebo/gazebo-11.5.1-r3.ebuild | 83 ++++++++++ sci-electronics/gazebo/gazebo-11.7.0-r1.ebuild | 83 ---------- sci-electronics/gazebo/gazebo-11.7.0-r2.ebuild | 83 ++++++++++ sci-electronics/geda/Manifest | 2 +- sci-electronics/geda/geda-1.9.2-r1.ebuild | 4 +- sci-electronics/gnucap/Manifest | 2 +- .../gnucap/gnucap-0.35.20091207-r1.ebuild | 2 +- sci-electronics/iverilog/Manifest | 4 +- sci-electronics/iverilog/iverilog-10.3.ebuild | 4 +- sci-electronics/iverilog/iverilog-11.0.ebuild | 2 +- sci-electronics/kicad-footprints/Manifest | 7 +- .../kicad-footprints-5.1.10.ebuild | 16 -- .../kicad-footprints-5.1.12.ebuild | 16 ++ .../kicad-footprints-6.0.0_rc1.ebuild | 36 ++++ .../kicad-footprints/kicad-footprints-9999.ebuild | 36 ++++ sci-electronics/kicad-i18n/Manifest | 4 +- .../kicad-i18n/kicad-i18n-5.1.10.ebuild | 17 -- .../kicad-i18n/kicad-i18n-5.1.12.ebuild | 17 ++ sci-electronics/kicad-meta/Manifest | 3 +- .../kicad-meta/kicad-meta-5.1.10.ebuild | 29 ---- .../kicad-meta/kicad-meta-5.1.12.ebuild | 29 ++++ .../kicad-meta/kicad-meta-6.0.0_rc1.ebuild | 30 ++++ sci-electronics/kicad-packages3d/Manifest | 7 +- .../kicad-packages3d-5.1.10.ebuild | 22 --- .../kicad-packages3d-5.1.12.ebuild | 22 +++ .../kicad-packages3d-6.0.0_rc1.ebuild | 37 +++++ .../kicad-packages3d/kicad-packages3d-9999.ebuild | 36 ++++ sci-electronics/kicad-symbols/Manifest | 7 +- .../kicad-symbols/kicad-symbols-5.1.10.ebuild | 16 -- .../kicad-symbols/kicad-symbols-5.1.12.ebuild | 16 ++ .../kicad-symbols/kicad-symbols-6.0.0_rc1.ebuild | 36 ++++ .../kicad-symbols/kicad-symbols-9999.ebuild | 35 ++++ sci-electronics/kicad-templates/Manifest | 7 +- .../kicad-templates/kicad-templates-5.1.10.ebuild | 16 -- .../kicad-templates/kicad-templates-5.1.12.ebuild | 16 ++ .../kicad-templates-6.0.0_rc1.ebuild | 28 ++++ .../kicad-templates/kicad-templates-9999.ebuild | 28 ++++ sci-electronics/kicad/Manifest | 9 +- sci-electronics/kicad/kicad-5.1.10-r1.ebuild | 181 --------------------- sci-electronics/kicad/kicad-5.1.12.ebuild | 181 +++++++++++++++++++++ sci-electronics/kicad/kicad-6.0.0_rc1.ebuild | 170 +++++++++++++++++++ sci-electronics/kicad/kicad-9999.ebuild | 172 ++++++++++++++++++++ sci-electronics/kicad/metadata.xml | 1 + sci-electronics/ktechlab/Manifest | 5 +- ...hlab-0.50.0-crashfix-connectors-diverging.patch | 38 +++++ .../ktechlab-0.50.0-crashfix-propertyeditor.patch | 52 ++++++ .../ktechlab/files/ktechlab-0.50.0-crashfix.patch | 26 +++ sci-electronics/ktechlab/ktechlab-0.50.0-r1.ebuild | 47 ------ sci-electronics/ktechlab/ktechlab-0.50.0-r2.ebuild | 50 ++++++ sci-electronics/magic/Manifest | 10 +- .../magic/files/magic-7.5.231-verbose-build.patch | 35 ---- .../magic/files/magic-8.3.232-libdir.patch | 13 ++ .../magic/files/magic-8.3.232-verbose-build.patch | 35 ++++ sci-electronics/magic/magic-7.5.202-r1.ebuild | 2 +- sci-electronics/magic/magic-8.0.207.ebuild | 79 --------- sci-electronics/magic/magic-8.3.232.ebuild | 84 ++++++++++ sci-electronics/quartus-prime-lite/Manifest | 7 - .../quartus-prime-lite-15.1.0.185-qenv-lib32.patch | 11 -- ...uartus-prime-lite-15.1.0.185-vso-launcher.patch | 19 --- sci-electronics/quartus-prime-lite/metadata.xml | 8 - .../quartus-prime-lite-15.1.0.185-r2.ebuild | 48 ------ .../quartus-prime-lite-15.1.0.185-r3.ebuild | 76 --------- sci-electronics/vbs/Manifest | 2 +- sci-electronics/vbs/vbs-1.4.0.ebuild | 4 +- sci-electronics/xcircuit/Manifest | 2 +- sci-electronics/xcircuit/xcircuit-3.9.73.ebuild | 4 +- sci-electronics/xnec2c/Manifest | 3 + sci-electronics/xnec2c/files/xnec2c-template.patch | 28 ++++ sci-electronics/xnec2c/xnec2c-4.2.ebuild | 46 ++++++ 76 files changed, 1628 insertions(+), 917 deletions(-) delete mode 100644 sci-electronics/gazebo/gazebo-11.3.0-r2.ebuild create mode 100644 sci-electronics/gazebo/gazebo-11.3.0-r3.ebuild delete mode 100644 sci-electronics/gazebo/gazebo-11.5.1-r2.ebuild create mode 100644 sci-electronics/gazebo/gazebo-11.5.1-r3.ebuild delete mode 100644 sci-electronics/gazebo/gazebo-11.7.0-r1.ebuild create mode 100644 sci-electronics/gazebo/gazebo-11.7.0-r2.ebuild delete mode 100644 sci-electronics/kicad-footprints/kicad-footprints-5.1.10.ebuild create mode 100644 sci-electronics/kicad-footprints/kicad-footprints-5.1.12.ebuild create mode 100644 sci-electronics/kicad-footprints/kicad-footprints-6.0.0_rc1.ebuild create mode 100644 sci-electronics/kicad-footprints/kicad-footprints-9999.ebuild delete mode 100644 sci-electronics/kicad-i18n/kicad-i18n-5.1.10.ebuild create mode 100644 sci-electronics/kicad-i18n/kicad-i18n-5.1.12.ebuild delete mode 100644 sci-electronics/kicad-meta/kicad-meta-5.1.10.ebuild create mode 100644 sci-electronics/kicad-meta/kicad-meta-5.1.12.ebuild create mode 100644 sci-electronics/kicad-meta/kicad-meta-6.0.0_rc1.ebuild delete mode 100644 sci-electronics/kicad-packages3d/kicad-packages3d-5.1.10.ebuild create mode 100644 sci-electronics/kicad-packages3d/kicad-packages3d-5.1.12.ebuild create mode 100644 sci-electronics/kicad-packages3d/kicad-packages3d-6.0.0_rc1.ebuild create mode 100644 sci-electronics/kicad-packages3d/kicad-packages3d-9999.ebuild delete mode 100644 sci-electronics/kicad-symbols/kicad-symbols-5.1.10.ebuild create mode 100644 sci-electronics/kicad-symbols/kicad-symbols-5.1.12.ebuild create mode 100644 sci-electronics/kicad-symbols/kicad-symbols-6.0.0_rc1.ebuild create mode 100644 sci-electronics/kicad-symbols/kicad-symbols-9999.ebuild delete mode 100644 sci-electronics/kicad-templates/kicad-templates-5.1.10.ebuild create mode 100644 sci-electronics/kicad-templates/kicad-templates-5.1.12.ebuild create mode 100644 sci-electronics/kicad-templates/kicad-templates-6.0.0_rc1.ebuild create mode 100644 sci-electronics/kicad-templates/kicad-templates-9999.ebuild delete mode 100644 sci-electronics/kicad/kicad-5.1.10-r1.ebuild create mode 100644 sci-electronics/kicad/kicad-5.1.12.ebuild create mode 100644 sci-electronics/kicad/kicad-6.0.0_rc1.ebuild create mode 100644 sci-electronics/kicad/kicad-9999.ebuild create mode 100644 sci-electronics/ktechlab/files/ktechlab-0.50.0-crashfix-connectors-diverging.patch create mode 100644 sci-electronics/ktechlab/files/ktechlab-0.50.0-crashfix-propertyeditor.patch create mode 100644 sci-electronics/ktechlab/files/ktechlab-0.50.0-crashfix.patch delete mode 100644 sci-electronics/ktechlab/ktechlab-0.50.0-r1.ebuild create mode 100644 sci-electronics/ktechlab/ktechlab-0.50.0-r2.ebuild delete mode 100644 sci-electronics/magic/files/magic-7.5.231-verbose-build.patch create mode 100644 sci-electronics/magic/files/magic-8.3.232-libdir.patch create mode 100644 sci-electronics/magic/files/magic-8.3.232-verbose-build.patch delete mode 100644 sci-electronics/magic/magic-8.0.207.ebuild create mode 100644 sci-electronics/magic/magic-8.3.232.ebuild delete mode 100644 sci-electronics/quartus-prime-lite/Manifest delete mode 100644 sci-electronics/quartus-prime-lite/files/quartus-prime-lite-15.1.0.185-qenv-lib32.patch delete mode 100644 sci-electronics/quartus-prime-lite/files/quartus-prime-lite-15.1.0.185-vso-launcher.patch delete mode 100644 sci-electronics/quartus-prime-lite/metadata.xml delete mode 100644 sci-electronics/quartus-prime-lite/quartus-prime-lite-15.1.0.185-r2.ebuild delete mode 100644 sci-electronics/quartus-prime-lite/quartus-prime-lite-15.1.0.185-r3.ebuild create mode 100644 sci-electronics/xnec2c/files/xnec2c-template.patch create mode 100644 sci-electronics/xnec2c/xnec2c-4.2.ebuild (limited to 'sci-electronics') diff --git a/sci-electronics/Manifest.gz b/sci-electronics/Manifest.gz index eacfbbc4f5e2..c30c891865f9 100644 Binary files a/sci-electronics/Manifest.gz and b/sci-electronics/Manifest.gz differ diff --git a/sci-electronics/drahnr-oregano/Manifest b/sci-electronics/drahnr-oregano/Manifest index d39dfd3bae0f..cda93af60997 100644 --- a/sci-electronics/drahnr-oregano/Manifest +++ b/sci-electronics/drahnr-oregano/Manifest @@ -1,3 +1,3 @@ DIST drahnr-oregano-0.84.40.tar.gz 1879082 BLAKE2B 8b8e0ffc6af0205018bb1a415f1f0e067d30f8c86681f145b8000a71d414c540c32cfb99af48b9e7c4a1b1e71cebbe76b22c05ccd511797ba7db2efdc8de2079 SHA512 f4e38ccf09f148cbe609ccfebe3d3476e6b385f4d002cb951ed0d488d84f65557c0fcac986c6e7657684a07d7f7dac4dda663278ed85363de0dcf48f8d02f73e -EBUILD drahnr-oregano-0.84.40.ebuild 1441 BLAKE2B 8a500110cd04d5b70a150f776aabe65869fff83ae836ea00bb24df3b2f69bc620447ba6bdd81ecb40df321dee9c0cc1eaf203e512e3ebc5b0c1f14202c5543e1 SHA512 672a4a332677459a0309e474c8d1f0f78955162c6858ce67bacde1705e5b3c41b20385bd4f5c3f513413d3931a2c67cab706e40bbf4e00529ae5ff796f9771f0 +EBUILD drahnr-oregano-0.84.40.ebuild 1442 BLAKE2B 5b8d4ad6bfb473717f21f212a9b00b502501fbc95ac5191a7c354b116614dbe9358a711141b6a69c7008616bbff146a50e644ab96990c25a98e67e637e6d4f43 SHA512 b9ba2e82e6661d64a877d98d7e3fe7b0bb2e4ab10ea1a43a8bd17c39ff567025fd3801447434fc703d04a0a3ac47743bcc35cfaec8b4fb3d903448ab6eb518e2 MISC metadata.xml 728 BLAKE2B 4a8788b8743946f1e2a04bc2b157ccf72b97d865ccdd9de8c0062bef5da087f23256dd5279b6cf81519a42533e05ee8effbfbbe883cf9644e96e7b09340e736f SHA512 def0997c5a170012683e82e71d596de44e789f907ac2cabd87b508e7aff9021ef326955e772d02fe4d7990accaf3524498000bdf237a6aea138a1efead1595fd diff --git a/sci-electronics/drahnr-oregano/drahnr-oregano-0.84.40.ebuild b/sci-electronics/drahnr-oregano/drahnr-oregano-0.84.40.ebuild index d07c379b648f..494f5778347b 100644 --- a/sci-electronics/drahnr-oregano/drahnr-oregano-0.84.40.ebuild +++ b/sci-electronics/drahnr-oregano/drahnr-oregano-0.84.40.ebuild @@ -14,7 +14,7 @@ SRC_URI="https://github.com/drahnr/oregano/archive/v${PV}.tar.gz -> ${P}.tar.gz" LICENSE="GPL-2+" SLOT="0" -KEYWORDS="amd64 ppc x86" +KEYWORDS="amd64 ~ppc x86" S="${WORKDIR}/oregano-${PV}" diff --git a/sci-electronics/gazebo/Manifest b/sci-electronics/gazebo/Manifest index da6d8c89af9c..f8891e5fb965 100644 --- a/sci-electronics/gazebo/Manifest +++ b/sci-electronics/gazebo/Manifest @@ -3,7 +3,7 @@ AUX qwt.patch 555 BLAKE2B f959e84dc5e144fd3dd35e6e6c7714507e1a4815495d4161119838 DIST gazebo-11.3.0.tar.bz2 56778002 BLAKE2B d466556c834935d8b3694461ddf6d7a7872b00d2f8f58d50d70cceb8bca3ab4ada1e72e7bb14b79404d45c48f95419e3dedd9019ecc3a8c1623afda077bcbeb2 SHA512 2e219655e45a787aeda50065dcec5d90954c18223f134d6da90b8cb43e119268262e515e527547d0b0b5ed1f73b5083d279551a01faa3d3c34ba7a580c077970 DIST gazebo-11.5.1.tar.bz2 56486174 BLAKE2B c1bffc5067f2739a8eb2e178bb2209d9c7b5a58d0589710cc515c4bcbbca281fb94ddf16de977f3771bc4b64fb6afe8e86327dde00d19ef62754e4d7634bb65f SHA512 7c922963881cf079e926c239f510e722fc6cabd07ef5e846a4b78772364a3aecb0b68f2b690ff451d42ceb11e88e2f831c30515e5a228f12826516ecad10e53a DIST gazebo-11.7.0.tar.bz2 56394312 BLAKE2B 2da58a2702113c1954f0202bb779b723ad8e59cab678f9ca6fe64908785198b996ef71c845a1a85f00e2f4eddb9ed5683f815ada850917b2af4afad214eac77d SHA512 7de40908a021655b2a200a73cf979585f4bdb245064f7e9a853998f87df329223252c73882aa1e2b705bc7de5841e2a87d67f772dfef18e5735c1b06c2663192 -EBUILD gazebo-11.3.0-r2.ebuild 1875 BLAKE2B 98067cffe3b75e834b661cd66479cd62a9a416694bc101686e0e2794b68f477f47f71ebad3acf34c731d7084e929c2e60a685439c8fc5a715980d800f2f48bbe SHA512 0af8358874f95fbf03c50749a9799a145608f5676240f32e34474c93a40286e63684154a9c344fe367935aec85a06621d7007574795155d74317f37ab651e329 -EBUILD gazebo-11.5.1-r2.ebuild 1875 BLAKE2B 98067cffe3b75e834b661cd66479cd62a9a416694bc101686e0e2794b68f477f47f71ebad3acf34c731d7084e929c2e60a685439c8fc5a715980d800f2f48bbe SHA512 0af8358874f95fbf03c50749a9799a145608f5676240f32e34474c93a40286e63684154a9c344fe367935aec85a06621d7007574795155d74317f37ab651e329 -EBUILD gazebo-11.7.0-r1.ebuild 1875 BLAKE2B 98067cffe3b75e834b661cd66479cd62a9a416694bc101686e0e2794b68f477f47f71ebad3acf34c731d7084e929c2e60a685439c8fc5a715980d800f2f48bbe SHA512 0af8358874f95fbf03c50749a9799a145608f5676240f32e34474c93a40286e63684154a9c344fe367935aec85a06621d7007574795155d74317f37ab651e329 +EBUILD gazebo-11.3.0-r3.ebuild 1881 BLAKE2B f9f7a612ca0d644a0d27de55f707ead14bbcc50913a641d5f030661ec9879097ef7bedd2bc039585c613c2e6bf4be8169aa0bfd6d51af1bec6eab3f77a0faf17 SHA512 6a3b5d447c84081b9f71a91996755919f2dc654546ba19b3daea6770b31baa989def79d14f17a7fa4a6b1876f7b5b602fd7efc692c5ad990dfb8c1343879c54d +EBUILD gazebo-11.5.1-r3.ebuild 1881 BLAKE2B f9f7a612ca0d644a0d27de55f707ead14bbcc50913a641d5f030661ec9879097ef7bedd2bc039585c613c2e6bf4be8169aa0bfd6d51af1bec6eab3f77a0faf17 SHA512 6a3b5d447c84081b9f71a91996755919f2dc654546ba19b3daea6770b31baa989def79d14f17a7fa4a6b1876f7b5b602fd7efc692c5ad990dfb8c1343879c54d +EBUILD gazebo-11.7.0-r2.ebuild 1881 BLAKE2B f9f7a612ca0d644a0d27de55f707ead14bbcc50913a641d5f030661ec9879097ef7bedd2bc039585c613c2e6bf4be8169aa0bfd6d51af1bec6eab3f77a0faf17 SHA512 6a3b5d447c84081b9f71a91996755919f2dc654546ba19b3daea6770b31baa989def79d14f17a7fa4a6b1876f7b5b602fd7efc692c5ad990dfb8c1343879c54d MISC metadata.xml 244 BLAKE2B 5a5426f4a80c61e49915e23ab7298bd1e53d89bd5361ad61fdaa3a50c88e7f27ea69205fd8c407addfdfde991e10cbe70aa554bfd737daf9d3d639c4eddd5934 SHA512 052b13f84da2d92069cdab006492e4b72274519bae5081f01ee4605215c7dca16bade6fa3856a7fec9435d1b564e607c9c52e0f9fdf199363af330778bf6c0af diff --git a/sci-electronics/gazebo/gazebo-11.3.0-r2.ebuild b/sci-electronics/gazebo/gazebo-11.3.0-r2.ebuild deleted file mode 100644 index 82a828bfbcba..000000000000 --- a/sci-electronics/gazebo/gazebo-11.3.0-r2.ebuild +++ /dev/null @@ -1,83 +0,0 @@ -# Copyright 1999-2021 Gentoo Authors -# Distributed under the terms of the GNU General Public License v2 - -EAPI=7 - -inherit cmake flag-o-matic - -DESCRIPTION="A 3D multiple robot simulator with dynamics" -HOMEPAGE="http://gazebosim.org/" -SRC_URI="https://osrf-distributions.s3.amazonaws.com/gazebo/releases/${P}.tar.bz2" - -LICENSE="Apache-2.0" -# Subslot = major version = soname of libs -SLOT="0/11" -KEYWORDS="~amd64" -IUSE="cpu_flags_x86_sse2 test" -RESTRICT="!test? ( test )" - -RDEPEND=" - >=dev-libs/protobuf-2:= - virtual/opengl - media-libs/openal - net-misc/curl - dev-libs/tinyxml - >=dev-libs/tinyxml2-6:= - dev-libs/libtar - dev-cpp/tbb:= - >=dev-games/ogre-1.7.4:=[freeimage] - =media-libs/freeimage-3.15.4[png] - sci-libs/libccd - >=media-video/ffmpeg-2.6:0= - sci-libs/gts - >=sci-physics/bullet-2.82:= - >=dev-libs/sdformat-9.1:= - dev-qt/qtwidgets:5 - dev-qt/qtcore:5 - dev-qt/qtopengl:5 - dev-libs/boost:=[threads(+)] - sci-libs/gdal:= - virtual/libusb:1 - dev-libs/libspnav - media-libs/freeimage - sci-libs/hdf5:=[cxx] - sys-apps/util-linux - media-gfx/graphviz - net-libs/ignition-msgs:5= - sci-libs/ignition-math:6= - net-libs/ignition-transport:8= - sci-libs/ignition-common:3= - sci-libs/ignition-fuel-tools:4= - x11-libs/qwt:6=[qt5(+)] -" -DEPEND="${RDEPEND} - dev-qt/qttest:5 - x11-apps/mesa-progs - test? ( dev-libs/libxslt ) -" -BDEPEND=" - app-text/ronn - app-arch/gzip - virtual/pkgconfig -" -CMAKE_BUILD_TYPE=RelWithDebInfo -PATCHES=( - "${FILESDIR}/qwt.patch" - "${FILESDIR}/cmake.patch" -) - -src_configure() { - # find OGRE properly - sed -e "s#lib/OGRE#$(get_libdir)/OGRE#" -i cmake/gazebo-config.cmake.in || die - - local mycmakeargs=( - "-DUSE_UPSTREAM_CFLAGS=OFF" - "-DSSE2_FOUND=$(usex cpu_flags_x86_sse2 TRUE FALSE)" - "-DUSE_HOST_CFLAGS=FALSE" - "-DBUILD_TESTING=$(usex test TRUE FALSE)" - "-DENABLE_SCREEN_TESTS=FALSE" - "-DUSE_EXTERNAL_TINYXML2=TRUE" - ) - cmake_src_configure -} diff --git a/sci-electronics/gazebo/gazebo-11.3.0-r3.ebuild b/sci-electronics/gazebo/gazebo-11.3.0-r3.ebuild new file mode 100644 index 000000000000..dd11acd611ae --- /dev/null +++ b/sci-electronics/gazebo/gazebo-11.3.0-r3.ebuild @@ -0,0 +1,83 @@ +# Copyright 1999-2021 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=7 + +inherit cmake flag-o-matic + +DESCRIPTION="A 3D multiple robot simulator with dynamics" +HOMEPAGE="http://gazebosim.org/" +SRC_URI="https://osrf-distributions.s3.amazonaws.com/gazebo/releases/${P}.tar.bz2" + +LICENSE="Apache-2.0" +# Subslot = major version = soname of libs +SLOT="0/11" +KEYWORDS="~amd64" +IUSE="cpu_flags_x86_sse2 test" +RESTRICT="!test? ( test )" + +RDEPEND=" + >=dev-libs/protobuf-2:= + virtual/opengl + media-libs/openal + net-misc/curl + dev-libs/tinyxml + >=dev-libs/tinyxml2-6:= + dev-libs/libtar + =dev-games/ogre-1.7.4:=[freeimage] + =media-libs/freeimage-3.15.4[png] + sci-libs/libccd + >=media-video/ffmpeg-2.6:0= + sci-libs/gts + >=sci-physics/bullet-2.82:= + >=dev-libs/sdformat-9.1:= + dev-qt/qtwidgets:5 + dev-qt/qtcore:5 + dev-qt/qtopengl:5 + dev-libs/boost:=[threads(+)] + sci-libs/gdal:= + virtual/libusb:1 + dev-libs/libspnav + media-libs/freeimage + sci-libs/hdf5:=[cxx] + sys-apps/util-linux + media-gfx/graphviz + net-libs/ignition-msgs:5= + sci-libs/ignition-math:6= + net-libs/ignition-transport:8= + sci-libs/ignition-common:3= + sci-libs/ignition-fuel-tools:4= + x11-libs/qwt:6=[qt5(+)] +" +DEPEND="${RDEPEND} + dev-qt/qttest:5 + x11-apps/mesa-progs + test? ( dev-libs/libxslt ) +" +BDEPEND=" + app-text/ronn + app-arch/gzip + virtual/pkgconfig +" +CMAKE_BUILD_TYPE=RelWithDebInfo +PATCHES=( + "${FILESDIR}/qwt.patch" + "${FILESDIR}/cmake.patch" +) + +src_configure() { + # find OGRE properly + sed -e "s#lib/OGRE#$(get_libdir)/OGRE#" -i cmake/gazebo-config.cmake.in || die + + local mycmakeargs=( + "-DUSE_UPSTREAM_CFLAGS=OFF" + "-DSSE2_FOUND=$(usex cpu_flags_x86_sse2 TRUE FALSE)" + "-DUSE_HOST_CFLAGS=FALSE" + "-DBUILD_TESTING=$(usex test TRUE FALSE)" + "-DENABLE_SCREEN_TESTS=FALSE" + "-DUSE_EXTERNAL_TINYXML2=TRUE" + ) + cmake_src_configure +} diff --git a/sci-electronics/gazebo/gazebo-11.5.1-r2.ebuild b/sci-electronics/gazebo/gazebo-11.5.1-r2.ebuild deleted file mode 100644 index 82a828bfbcba..000000000000 --- a/sci-electronics/gazebo/gazebo-11.5.1-r2.ebuild +++ /dev/null @@ -1,83 +0,0 @@ -# Copyright 1999-2021 Gentoo Authors -# Distributed under the terms of the GNU General Public License v2 - -EAPI=7 - -inherit cmake flag-o-matic - -DESCRIPTION="A 3D multiple robot simulator with dynamics" -HOMEPAGE="http://gazebosim.org/" -SRC_URI="https://osrf-distributions.s3.amazonaws.com/gazebo/releases/${P}.tar.bz2" - -LICENSE="Apache-2.0" -# Subslot = major version = soname of libs -SLOT="0/11" -KEYWORDS="~amd64" -IUSE="cpu_flags_x86_sse2 test" -RESTRICT="!test? ( test )" - -RDEPEND=" - >=dev-libs/protobuf-2:= - virtual/opengl - media-libs/openal - net-misc/curl - dev-libs/tinyxml - >=dev-libs/tinyxml2-6:= - dev-libs/libtar - dev-cpp/tbb:= - >=dev-games/ogre-1.7.4:=[freeimage] - =media-libs/freeimage-3.15.4[png] - sci-libs/libccd - >=media-video/ffmpeg-2.6:0= - sci-libs/gts - >=sci-physics/bullet-2.82:= - >=dev-libs/sdformat-9.1:= - dev-qt/qtwidgets:5 - dev-qt/qtcore:5 - dev-qt/qtopengl:5 - dev-libs/boost:=[threads(+)] - sci-libs/gdal:= - virtual/libusb:1 - dev-libs/libspnav - media-libs/freeimage - sci-libs/hdf5:=[cxx] - sys-apps/util-linux - media-gfx/graphviz - net-libs/ignition-msgs:5= - sci-libs/ignition-math:6= - net-libs/ignition-transport:8= - sci-libs/ignition-common:3= - sci-libs/ignition-fuel-tools:4= - x11-libs/qwt:6=[qt5(+)] -" -DEPEND="${RDEPEND} - dev-qt/qttest:5 - x11-apps/mesa-progs - test? ( dev-libs/libxslt ) -" -BDEPEND=" - app-text/ronn - app-arch/gzip - virtual/pkgconfig -" -CMAKE_BUILD_TYPE=RelWithDebInfo -PATCHES=( - "${FILESDIR}/qwt.patch" - "${FILESDIR}/cmake.patch" -) - -src_configure() { - # find OGRE properly - sed -e "s#lib/OGRE#$(get_libdir)/OGRE#" -i cmake/gazebo-config.cmake.in || die - - local mycmakeargs=( - "-DUSE_UPSTREAM_CFLAGS=OFF" - "-DSSE2_FOUND=$(usex cpu_flags_x86_sse2 TRUE FALSE)" - "-DUSE_HOST_CFLAGS=FALSE" - "-DBUILD_TESTING=$(usex test TRUE FALSE)" - "-DENABLE_SCREEN_TESTS=FALSE" - "-DUSE_EXTERNAL_TINYXML2=TRUE" - ) - cmake_src_configure -} diff --git a/sci-electronics/gazebo/gazebo-11.5.1-r3.ebuild b/sci-electronics/gazebo/gazebo-11.5.1-r3.ebuild new file mode 100644 index 000000000000..dd11acd611ae --- /dev/null +++ b/sci-electronics/gazebo/gazebo-11.5.1-r3.ebuild @@ -0,0 +1,83 @@ +# Copyright 1999-2021 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=7 + +inherit cmake flag-o-matic + +DESCRIPTION="A 3D multiple robot simulator with dynamics" +HOMEPAGE="http://gazebosim.org/" +SRC_URI="https://osrf-distributions.s3.amazonaws.com/gazebo/releases/${P}.tar.bz2" + +LICENSE="Apache-2.0" +# Subslot = major version = soname of libs +SLOT="0/11" +KEYWORDS="~amd64" +IUSE="cpu_flags_x86_sse2 test" +RESTRICT="!test? ( test )" + +RDEPEND=" + >=dev-libs/protobuf-2:= + virtual/opengl + media-libs/openal + net-misc/curl + dev-libs/tinyxml + >=dev-libs/tinyxml2-6:= + dev-libs/libtar + =dev-games/ogre-1.7.4:=[freeimage] + =media-libs/freeimage-3.15.4[png] + sci-libs/libccd + >=media-video/ffmpeg-2.6:0= + sci-libs/gts + >=sci-physics/bullet-2.82:= + >=dev-libs/sdformat-9.1:= + dev-qt/qtwidgets:5 + dev-qt/qtcore:5 + dev-qt/qtopengl:5 + dev-libs/boost:=[threads(+)] + sci-libs/gdal:= + virtual/libusb:1 + dev-libs/libspnav + media-libs/freeimage + sci-libs/hdf5:=[cxx] + sys-apps/util-linux + media-gfx/graphviz + net-libs/ignition-msgs:5= + sci-libs/ignition-math:6= + net-libs/ignition-transport:8= + sci-libs/ignition-common:3= + sci-libs/ignition-fuel-tools:4= + x11-libs/qwt:6=[qt5(+)] +" +DEPEND="${RDEPEND} + dev-qt/qttest:5 + x11-apps/mesa-progs + test? ( dev-libs/libxslt ) +" +BDEPEND=" + app-text/ronn + app-arch/gzip + virtual/pkgconfig +" +CMAKE_BUILD_TYPE=RelWithDebInfo +PATCHES=( + "${FILESDIR}/qwt.patch" + "${FILESDIR}/cmake.patch" +) + +src_configure() { + # find OGRE properly + sed -e "s#lib/OGRE#$(get_libdir)/OGRE#" -i cmake/gazebo-config.cmake.in || die + + local mycmakeargs=( + "-DUSE_UPSTREAM_CFLAGS=OFF" + "-DSSE2_FOUND=$(usex cpu_flags_x86_sse2 TRUE FALSE)" + "-DUSE_HOST_CFLAGS=FALSE" + "-DBUILD_TESTING=$(usex test TRUE FALSE)" + "-DENABLE_SCREEN_TESTS=FALSE" + "-DUSE_EXTERNAL_TINYXML2=TRUE" + ) + cmake_src_configure +} diff --git a/sci-electronics/gazebo/gazebo-11.7.0-r1.ebuild b/sci-electronics/gazebo/gazebo-11.7.0-r1.ebuild deleted file mode 100644 index 82a828bfbcba..000000000000 --- a/sci-electronics/gazebo/gazebo-11.7.0-r1.ebuild +++ /dev/null @@ -1,83 +0,0 @@ -# Copyright 1999-2021 Gentoo Authors -# Distributed under the terms of the GNU General Public License v2 - -EAPI=7 - -inherit cmake flag-o-matic - -DESCRIPTION="A 3D multiple robot simulator with dynamics" -HOMEPAGE="http://gazebosim.org/" -SRC_URI="https://osrf-distributions.s3.amazonaws.com/gazebo/releases/${P}.tar.bz2" - -LICENSE="Apache-2.0" -# Subslot = major version = soname of libs -SLOT="0/11" -KEYWORDS="~amd64" -IUSE="cpu_flags_x86_sse2 test" -RESTRICT="!test? ( test )" - -RDEPEND=" - >=dev-libs/protobuf-2:= - virtual/opengl - media-libs/openal - net-misc/curl - dev-libs/tinyxml - >=dev-libs/tinyxml2-6:= - dev-libs/libtar - dev-cpp/tbb:= - >=dev-games/ogre-1.7.4:=[freeimage] - =media-libs/freeimage-3.15.4[png] - sci-libs/libccd - >=media-video/ffmpeg-2.6:0= - sci-libs/gts - >=sci-physics/bullet-2.82:= - >=dev-libs/sdformat-9.1:= - dev-qt/qtwidgets:5 - dev-qt/qtcore:5 - dev-qt/qtopengl:5 - dev-libs/boost:=[threads(+)] - sci-libs/gdal:= - virtual/libusb:1 - dev-libs/libspnav - media-libs/freeimage - sci-libs/hdf5:=[cxx] - sys-apps/util-linux - media-gfx/graphviz - net-libs/ignition-msgs:5= - sci-libs/ignition-math:6= - net-libs/ignition-transport:8= - sci-libs/ignition-common:3= - sci-libs/ignition-fuel-tools:4= - x11-libs/qwt:6=[qt5(+)] -" -DEPEND="${RDEPEND} - dev-qt/qttest:5 - x11-apps/mesa-progs - test? ( dev-libs/libxslt ) -" -BDEPEND=" - app-text/ronn - app-arch/gzip - virtual/pkgconfig -" -CMAKE_BUILD_TYPE=RelWithDebInfo -PATCHES=( - "${FILESDIR}/qwt.patch" - "${FILESDIR}/cmake.patch" -) - -src_configure() { - # find OGRE properly - sed -e "s#lib/OGRE#$(get_libdir)/OGRE#" -i cmake/gazebo-config.cmake.in || die - - local mycmakeargs=( - "-DUSE_UPSTREAM_CFLAGS=OFF" - "-DSSE2_FOUND=$(usex cpu_flags_x86_sse2 TRUE FALSE)" - "-DUSE_HOST_CFLAGS=FALSE" - "-DBUILD_TESTING=$(usex test TRUE FALSE)" - "-DENABLE_SCREEN_TESTS=FALSE" - "-DUSE_EXTERNAL_TINYXML2=TRUE" - ) - cmake_src_configure -} diff --git a/sci-electronics/gazebo/gazebo-11.7.0-r2.ebuild b/sci-electronics/gazebo/gazebo-11.7.0-r2.ebuild new file mode 100644 index 000000000000..dd11acd611ae --- /dev/null +++ b/sci-electronics/gazebo/gazebo-11.7.0-r2.ebuild @@ -0,0 +1,83 @@ +# Copyright 1999-2021 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=7 + +inherit cmake flag-o-matic + +DESCRIPTION="A 3D multiple robot simulator with dynamics" +HOMEPAGE="http://gazebosim.org/" +SRC_URI="https://osrf-distributions.s3.amazonaws.com/gazebo/releases/${P}.tar.bz2" + +LICENSE="Apache-2.0" +# Subslot = major version = soname of libs +SLOT="0/11" +KEYWORDS="~amd64" +IUSE="cpu_flags_x86_sse2 test" +RESTRICT="!test? ( test )" + +RDEPEND=" + >=dev-libs/protobuf-2:= + virtual/opengl + media-libs/openal + net-misc/curl + dev-libs/tinyxml + >=dev-libs/tinyxml2-6:= + dev-libs/libtar + =dev-games/ogre-1.7.4:=[freeimage] + =media-libs/freeimage-3.15.4[png] + sci-libs/libccd + >=media-video/ffmpeg-2.6:0= + sci-libs/gts + >=sci-physics/bullet-2.82:= + >=dev-libs/sdformat-9.1:= + dev-qt/qtwidgets:5 + dev-qt/qtcore:5 + dev-qt/qtopengl:5 + dev-libs/boost:=[threads(+)] + sci-libs/gdal:= + virtual/libusb:1 + dev-libs/libspnav + media-libs/freeimage + sci-libs/hdf5:=[cxx] + sys-apps/util-linux + media-gfx/graphviz + net-libs/ignition-msgs:5= + sci-libs/ignition-math:6= + net-libs/ignition-transport:8= + sci-libs/ignition-common:3= + sci-libs/ignition-fuel-tools:4= + x11-libs/qwt:6=[qt5(+)] +" +DEPEND="${RDEPEND} + dev-qt/qttest:5 + x11-apps/mesa-progs + test? ( dev-libs/libxslt ) +" +BDEPEND=" + app-text/ronn + app-arch/gzip + virtual/pkgconfig +" +CMAKE_BUILD_TYPE=RelWithDebInfo +PATCHES=( + "${FILESDIR}/qwt.patch" + "${FILESDIR}/cmake.patch" +) + +src_configure() { + # find OGRE properly + sed -e "s#lib/OGRE#$(get_libdir)/OGRE#" -i cmake/gazebo-config.cmake.in || die + + local mycmakeargs=( + "-DUSE_UPSTREAM_CFLAGS=OFF" + "-DSSE2_FOUND=$(usex cpu_flags_x86_sse2 TRUE FALSE)" + "-DUSE_HOST_CFLAGS=FALSE" + "-DBUILD_TESTING=$(usex test TRUE FALSE)" + "-DENABLE_SCREEN_TESTS=FALSE" + "-DUSE_EXTERNAL_TINYXML2=TRUE" + ) + cmake_src_configure +} diff --git a/sci-electronics/geda/Manifest b/sci-electronics/geda/Manifest index dd11d3c6ee3c..4ea1cf868f68 100644 --- a/sci-electronics/geda/Manifest +++ b/sci-electronics/geda/Manifest @@ -1,5 +1,5 @@ AUX geda-1.9.2-fno-common.patch 2724 BLAKE2B afc18d971ea58df785025e9cdce22df967385e6f143320a3e48895bb97f720691d245e1b7da953459fc3dccddbef492c34233bc25194ee1b377bd6abd8af773e SHA512 566f77d5acacd4e0ebf0b27eca91e2482320b22f73d5561457f69d7eeff6e54b4bbb672602be271b2243b268d78a8b18506d1f7607a367dd9dc8eb497cd1da2b AUX geda-1.9.2-guile-2.2.patch 655 BLAKE2B 503f041c3217dc6eaccba95fe5044a88ef08d9e625c50cfbfdeba29e3bef68e341b8920d15aebf9e921dfe875d45bd9bc0d57f1683fa8a46b519a0affb9c97d6 SHA512 f6dd973626fc2717c4262d715dcb3fcaafacd5773cfadaeade292b4f637ae19f30e4a0338e41037ba403b9133e9670d434d6ac7d67dd4eace69bca98a9d0ed1c DIST geda-gaf-1.9.2.tar.gz 10612225 BLAKE2B d09accde32f672b984bc2541404efccc886edb15e985bab287b4a619f11fc10cfd2b3ce3074d82c1a61811c4df9e515ecfbc61bd537c6943188b130062bda97f SHA512 5e26ea2cffffe50bd4f0bdb4594af9cc4510386d29c715c2b4ea99c6522838a08cc2045bf65e8b1da01ab33a266fc9f03da42e28b8cc4d5c030001777ab077e3 -EBUILD geda-1.9.2-r1.ebuild 2030 BLAKE2B 01616a213886c77073cb4433197f02d84963ef0e345ffa7ecb46c6f261e4ae5ac3c8b38207617f576c761eeea91083574f150c637154e0af06a12dfff03ac436 SHA512 cfc76469f26e5ac9f62f47206d47c7b0490f800020ac5561f301c9c16579545f1485e096d11660afa090a0f33f5130035fe969347a0ea0e939bc927b033da7b2 +EBUILD geda-1.9.2-r1.ebuild 2031 BLAKE2B 209413b23a328e3924aee86909adb88ae59cf0b4c2462d9f9f1e000c6718b5742da39a54b75aae7f8aca6c72448577fbfd94d443559927da972967f5dce5b025 SHA512 f6267b9a7ef7a995de3e83abcd2b1f72a2de51d194d29eb9a8cae3291921ce2ea3e5588b9edf5c89d33dbd70d7d57090b3aa1ff0c83540b94a2f1df608ac7ed8 MISC metadata.xml 1108 BLAKE2B 4a11952f3543eac02bd65619442e3dd333c550c93969e5c8032d8f478b19a37763f23d353b9f7bd6b61783c70c5eafce10e493e5fd3a594edcdf93dba926d766 SHA512 9f4527cce78e7e5a69c7cd3f655be7f51f23eed736e94f63ec84dfe19ed7b409512e357f4008a2271f42f4d96c6b86a5aeb244efd6ce10d6a3bbeeb8f65be2d2 diff --git a/sci-electronics/geda/geda-1.9.2-r1.ebuild b/sci-electronics/geda/geda-1.9.2-r1.ebuild index c85d6f349778..e4657a2c3eeb 100644 --- a/sci-electronics/geda/geda-1.9.2-r1.ebuild +++ b/sci-electronics/geda/geda-1.9.2-r1.ebuild @@ -1,4 +1,4 @@ -# Copyright 1999-2020 Gentoo Authors +# Copyright 1999-2021 Gentoo Authors # Distributed under the terms of the GNU General Public License v2 EAPI=7 @@ -14,7 +14,7 @@ SRC_URI="http://ftp.geda-project.org/${MY_PN}/unstable/v$(ver_cut 1-2)/${PV}/${M LICENSE="GPL-2" SLOT="0" -KEYWORDS="amd64 ppc x86" +KEYWORDS="amd64 ~ppc x86" IUSE="debug doc examples nls stroke threads" RDEPEND=" diff --git a/sci-electronics/gnucap/Manifest b/sci-electronics/gnucap/Manifest index 04ef28e48ede..e218864760ab 100644 --- a/sci-electronics/gnucap/Manifest +++ b/sci-electronics/gnucap/Manifest @@ -3,5 +3,5 @@ DIST gnucap-2009-12-07-models-jspice3-2.5.tar.gz 502564 BLAKE2B a0c60671cb338035 DIST gnucap-2009-12-07-models-ngspice17.tar.gz 4418060 BLAKE2B b7d300cc93b870b13eab25d4b0303af647303551f2777e61cc38d18d770e9b446b9a1e4f8fd94b81c11f96eb1c6bac4ef113f69806a979ac3fe69903e0855d91 SHA512 0f26b4491531d41f18d35b8cde339e99b593794495346186dac74f72bd3632dfe4690ede50a972c47ae41b2229a62d708ecd28bb8fc04b5b7347a481bca709d5 DIST gnucap-2009-12-07-models-spice3f5.tar.gz 629424 BLAKE2B 3369374942a0b0b14dc484a6d49b9650d461112f15623f43b1140a4bddd4d23a6035c4c31294f1003828b93bc21b1c1c059acf61c2db85c9578bb8162e247234 SHA512 4c16e4bcdd3b4092bd6c89c56421ef9ca85b6aba90d9e244fee03278916c6b5ac1c56ecba62d4a5561ff3d93abc61492c0116ccc350c483128ca792b52fa7155 DIST gnucap-2009-12-07.tar.gz 545578 BLAKE2B a1ff8ed82a8ab57c455fdb96c399a5e480b1eb898d439e39380c4287d65538e9c0e1f61aef08c616aff34390a507243edc1fbb2f55b2bfc6d383264e2281e1c9 SHA512 c0e8a4f6b66eeff2cf95e9cf2050a25aa08f660de1e4b3e78011998deb93cd4e5b562cdcf5f3beb72e04cb3c7650cde6ae8c81602ffc31f5e333d84e7e3867a9 -EBUILD gnucap-0.35.20091207-r1.ebuild 2113 BLAKE2B b4e293b163a712477551bd9c08be61f7fb40ceabb4c5a733a4f4abf4d45e7912d3638408b8df8b99a2e17ccc38efcc2a57e4f10c82f80f9bdfb804fcd05484e2 SHA512 72bb34f98d42f2586d7c03f74b721cf6bd9ca6a03274a84ab0ec2e37a625bd920d31792e7954a0022cea54d9a7ff7426114d228778d4cc7158542be221da8794 +EBUILD gnucap-0.35.20091207-r1.ebuild 2114 BLAKE2B 5043eb866c439ed881cfe01f58e6666362dcd480765a342f7363d01d1e969eaf95ce4aa85d5ed23295fbcfbe1269e094b2d6fec5a50fc7df91cfc09b6c364af8 SHA512 b27f2c5c55bde8a2fcf2790f7ba37517c44959a9cf02de9eb482e7ad2e640185fcb7c5dc03e133ecc50f861736a57110e76ad68d5576804fdb053ace5b428778 MISC metadata.xml 745 BLAKE2B e60b11b8af54db5c7f8080f7397a82a2c6583cf517cc5d1f4a0db7af846015d385584a05e3725d2a60b448e448fe350ed020806ec5ce66f4e42e4b830dd8fd3d SHA512 55022ee6b895bcc4ffe24f2d784cdec87eaa66965b27017dbe5ce993daa829d667f5e22df298c31e3df4d73d120a3293f7d68a59b66b866015fa502afb5b6eae diff --git a/sci-electronics/gnucap/gnucap-0.35.20091207-r1.ebuild b/sci-electronics/gnucap/gnucap-0.35.20091207-r1.ebuild index 0c524c10d336..f8588c470fa0 100644 --- a/sci-electronics/gnucap/gnucap-0.35.20091207-r1.ebuild +++ b/sci-electronics/gnucap/gnucap-0.35.20091207-r1.ebuild @@ -19,7 +19,7 @@ HOMEPAGE="http://www.gnucap.org/" IUSE="examples" SLOT="0" LICENSE="GPL-2" -KEYWORDS="amd64 ppc x86" +KEYWORDS="amd64 ~ppc x86" # NOTE: readline could be made optional, but I don't see a point for now. RDEPEND="sys-libs/readline:=" diff --git a/sci-electronics/iverilog/Manifest b/sci-electronics/iverilog/Manifest index 57f72f26f386..f904d836c564 100644 --- a/sci-electronics/iverilog/Manifest +++ b/sci-electronics/iverilog/Manifest @@ -3,7 +3,7 @@ AUX iverilog-10.3-fno-common.patch 777 BLAKE2B 99ce15739f0d86dd838e652542152cc7b AUX iverilog-11.0-autoconf-2.70.patch 11616 BLAKE2B f753698b8dfc21914ac68d09251515f71890e5164252173b020987b1387cccc23ac9d621cb27b5073d654f87cf003a75b9a35d075437201b565f32220e14fc18 SHA512 1a57dfd29799ff2aeeb27632325b9522b9764faaecf223ff7120bf224ebae8cda2beffb5f2b04bfc148e518332680272cc32491e0bcd103c2d0dffd46a37aa0e DIST iverilog-10.3.tar.gz 1600835 BLAKE2B 107c57c61fb27c18f4020f7853bf6ca83d1a86fdc73c57ea207828baf6b7a26d42e43ce7b33580f050a4c0b8f63bca6accecf678323a3bbbee1eb9c1d8fa2caa SHA512 67076e19a208576c21a0462ff7d15d00a9d47740c47518a5523bd928b3118360d85eb84c317963717d15e5246ece3727259f6ff3baf59e195340530cc9086a1d DIST iverilog-11.0.tar.gz 1682457 BLAKE2B ba90574e1453a095143e76e5dc79bb2357a721fc62c24f0fb23d220458819cb3b8ea8494410ce956e68831b682b61f27f64e94e9c166a69e5f6d5d30a8269a11 SHA512 5d6a65e1c3b6b62e99af708cd5a259cc69c6b789dd6eff69e225903d9b8c115d9e5d6f7635b30c6558197cd0ee6fd8196a163fbd98956e24c211d97328b85056 -EBUILD iverilog-10.3.ebuild 1865 BLAKE2B f3d3828e9d6d5afd7457dbe620450713e7e8e32ed18ee41f36d07eb474bfd979dd5c27b71fdd3a9847cdcec03229586bfd14c170354905573293137ede1853f8 SHA512 4d6d589df25c6f1139f0f24d3d3074c9b7251972d38828d97989806d6f80784049b0b84eaa93f92f24ba3d60499fd612ba32d6443967a7154a197e4a933f3c2d -EBUILD iverilog-11.0.ebuild 1542 BLAKE2B b8d33c4fbb5e68728005012fa1c3b4463fe8d27a5a0c4faa84093a8729d7e2d3a485d7bc768f468f90c8ae549caeae7ee958bbce142e90bd4b8a5ad9827c94bb SHA512 bfacbf85d2a5d75d8f21fd43a788c8915039d6189a0c56d2b1e5d3015d5f998784627b32bd422042421b0d052c767955dd64ca54d0db9aa1243fbf480896c019 +EBUILD iverilog-10.3.ebuild 1866 BLAKE2B f07289f5dec09316e33c00abda459945eb01ed73a0572a34d836fd4cff683c1c95bf8b0c92ccd2d44380ede38567666a4a3e292c2adf0c2b4378339153c27276 SHA512 df78880a41a206e3bdd2934e1b14afb9763c5b445a13e5dee5e3bc0bc34fffeda6fd4c7c7d1085d4d4d014978eaa8a1a2910f1cdda256f2d1e1874051c3b9d37 +EBUILD iverilog-11.0.ebuild 1543 BLAKE2B 68cf06b5f5d87fed8fcdf90a318ec6cc7aa8c4075340fe275506ce694f3aa05e9a5d621ddfe7122a20aeb6574c55120c96edfbb75e6653cb8292dd08b488c76e SHA512 b9b992193f1e1a5381a13238cf6bc69c0132d18f637f6abde44be10696b2c4aa38219d07f1ad730deb1b24d75beef27bb2da80b5fca3e4c8230ebbee323d2f2c EBUILD iverilog-9999.ebuild 1485 BLAKE2B dd0bc0d262210b821ec7499876d249610762c10f42960f42cf1e4cda3c31d7a65628c2289fff894e5863952415829b940cdcf0031c1bdb61bc65e970cbe2064a SHA512 5a141f14d7752380371ceb40177884e213e0fac779f3cfb358a93d8a5c691c3d2b947d91f394c5365bdce402b611d990d79c7879f6884520f6d96f6806702941 MISC metadata.xml 935 BLAKE2B 43c8165799b301c14618858df3e2591578aa4b5605e3ce0ca08a15337b6a53376863db9c07451d4fd5849d71fb2335bbefc9f2848b257079c2fe5b7d731361a2 SHA512 2508fb58d82acf9f8784197a03874cc73cc99b46c3d41dce2f2aee6e75a9a107bcd66530c942cf2d329fd31e48ce670764cd3042f8e6af2027e4c4e1fdaad2ef diff --git a/sci-electronics/iverilog/iverilog-10.3.ebuild b/sci-electronics/iverilog/iverilog-10.3.ebuild index a2dea488d4fd..1a2a537efa44 100644 --- a/sci-electronics/iverilog/iverilog-10.3.ebuild +++ b/sci-electronics/iverilog/iverilog-10.3.ebuild @@ -1,4 +1,4 @@ -# Copyright 1999-2020 Gentoo Authors +# Copyright 1999-2021 Gentoo Authors # Distributed under the terms of the GNU General Public License v2 EAPI=7 @@ -18,7 +18,7 @@ if [[ ${PV} == "9999" ]] ; then EGIT_REPO_URI="https://github.com/steveicarus/${PN}.git" else SRC_URI="https://github.com/steveicarus/${PN}/archive/v${GITHUB_PV}.tar.gz -> ${P}.tar.gz" - KEYWORDS="~alpha amd64 ~arm ~arm64 ~hppa ~ia64 ~m68k ~mips ppc ~ppc64 ~riscv ~s390 sparc x86" + KEYWORDS="~alpha amd64 ~arm ~arm64 ~hppa ~ia64 ~m68k ~mips ~ppc ~ppc64 ~riscv ~s390 sparc x86" S="${WORKDIR}/${PN}-${GITHUB_PV}" fi diff --git a/sci-electronics/iverilog/iverilog-11.0.ebuild b/sci-electronics/iverilog/iverilog-11.0.ebuild index bf530fda8300..c8842e156a9d 100644 --- a/sci-electronics/iverilog/iverilog-11.0.ebuild +++ b/sci-electronics/iverilog/iverilog-11.0.ebuild @@ -18,7 +18,7 @@ if [[ ${PV} == "9999" ]] ; then EGIT_REPO_URI="https://github.com/steveicarus/${PN}.git" else SRC_URI="https://github.com/steveicarus/${PN}/archive/v${GITHUB_PV}.tar.gz -> ${P}.tar.gz" - KEYWORDS="~alpha amd64 ~arm ~arm64 ~hppa ~ia64 ~m68k ~mips ppc ~ppc64 ~riscv ~s390 sparc x86" + KEYWORDS="~alpha amd64 ~arm ~arm64 ~hppa ~ia64 ~m68k ~mips ~ppc ~ppc64 ~riscv ~s390 sparc x86" S="${WORKDIR}/${PN}-${GITHUB_PV}" fi diff --git a/sci-electronics/kicad-footprints/Manifest b/sci-electronics/kicad-footprints/Manifest index fcd539b3f427..bfa66759f536 100644 --- a/sci-electronics/kicad-footprints/Manifest +++ b/sci-electronics/kicad-footprints/Manifest @@ -1,3 +1,6 @@ -DIST kicad-footprints-5.1.10.tar.bz2 3382220 BLAKE2B c2725a83e1d817cbf748dcf173383a53ee00c917d50c00368feb29150d14d1172df8e2b87fa0b8a2f3921658baae833048889c16d45469c7e888002fc63e9fc7 SHA512 624f5bf4a7e9b30ca1f7f8aa4d8270baf59667c91e65b8beb3c36b546fc4fbabd6221cb408458eb166e0364c7b8c77923b5809d94aea3ec65ae58c65055b6eb7 -EBUILD kicad-footprints-5.1.10.ebuild 435 BLAKE2B c9beff3509330723d542a89eb2c011eb63be5bbcde98c351108d010fefdcd63acdbb62449801596858d71114f8a15b153b0db13c439e53c4a0003758f2c76225 SHA512 89d12bc654c80f6541b5f769042f93c5ed64861eb9541697b6b7c8909d8f18885cdd00233b71436fe5626a122ed1a1e447c5d7ad82ff8a2dfa96352cfc8417ff +DIST kicad-footprints-5.1.12.tar.bz2 3382783 BLAKE2B 6f7725bebd6099d86780ed2582aacfb3abff4db6065a6a17fcd7631782a0c2e1e8a23064f80cd95c79bbaca8da52003f01fce7e398e95596e45f15b144eeef7f SHA512 e717886dc742f37b626226065953a36829b50f9bf203efe1dbeab41d4dbe3a898bd65fbde9fa059ea9374ea92905faeb0ccd19dfb1a62f2d6a445c07f90b89a9 +DIST kicad-footprints-6.0.0_rc1.tar.gz 6147594 BLAKE2B de3d44a7fb900c64e08aa8933eb3695aa15b27caf80f7fae93f3aa8194d8301e3e5ac549287a78e0168fa3130a8e84dfbcef5789ca99c2351be6b8696eb5887e SHA512 4625b2c2cff5102accaae1883d30c9a6304a5755804dca9d3a99231901a80813e51a55fba42332355df8896dc3ea843618108f25598a08d82ae11dc42b783782 +EBUILD kicad-footprints-5.1.12.ebuild 435 BLAKE2B f8452914dfffb5c899cbf8e0112318f96f3833628f90ca48c208c26b6a558ce69eb1e90f390f686c88b51ecc194abcf1bbc87aaa1457ded6980af0b0e49ee5c4 SHA512 9945e466d7812e9c44f4481a077cb19162a7d2ce73425a12b5e6bd51a1ae7cf7fa8d7f91cde116d55665cb49585e4936f8a2d141f1f8a612f49c0b8a1c8a736d +EBUILD kicad-footprints-6.0.0_rc1.ebuild 862 BLAKE2B 2baa7e57061f5c3c0b9a28987d2c2c44a238d2f5a09343b7e3b283149523a264bb4c8f0974455713836ee147ff0083a04ebcfcec92e02c3334964ea294bc0cee SHA512 896c3f6c3df813454e9c01a4876e7561c8989d63a9a0a6eb2771a72ab2538aa0bd977e80ac3a757e17a95fde6fc7aa6111497be89b1a463c64065cea3199e5fd +EBUILD kicad-footprints-9999.ebuild 862 BLAKE2B e50a3295d86f5728ace846b6376b4dda394158626a868b5074d1c924595d403ce932eb703884f3783df05349a5feace6bed59eedb97998cd147cedee1fbf84e7 SHA512 513081cadf6fb841834ae813d67e358c8f8063af57c3ae5a4567d597c1fdd30046cfa4b58a07a549bd8514c9f0504509139f343cffeffae94ffb28a9f444fdf7 MISC metadata.xml 766 BLAKE2B cba91a16d3b205f5f36dff3aba3afad956d98d23ac08ecb26bf3ef5471e5fdb7698c86cc1e245a34069f73a052eebdd37594941194b7f3f5d9c57a88718d7de0 SHA512 8d24ac5ad64aec5939be1244e417c2299353d6d618bea63ef949f6c82a865cee98ab11a3dd8c57e447e13203abc3a40da7070f585379c1ec54b0bd46c214d0ec diff --git a/sci-electronics/kicad-footprints/kicad-footprints-5.1.10.ebuild b/sci-electronics/kicad-footprints/kicad-footprints-5.1.10.ebuild deleted file mode 100644 index 01e320ed9a00..000000000000 --- a/sci-electronics/kicad-footprints/kicad-footprints-5.1.10.ebuild +++ /dev/null @@ -1,16 +0,0 @@ -# Copyright 1999-2021 Gentoo Authors -# Distributed under the terms of the GNU General Public License v2 - -EAPI=7 - -inherit cmake - -DESCRIPTION="Electronic Schematic and PCB design tools footprint libraries" -HOMEPAGE="https://kicad.github.io/footprints/" -SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2" - -LICENSE="CC-BY-SA-4.0" -SLOT="0" -KEYWORDS="~amd64 ~arm64 ~x86" - -RDEPEND=">=sci-electronics/kicad-5.1.0" diff --git a/sci-electronics/kicad-footprints/kicad-footprints-5.1.12.ebuild b/sci-electronics/kicad-footprints/kicad-footprints-5.1.12.ebuild new file mode 100644 index 000000000000..919b81b50669 --- /dev/null +++ b/sci-electronics/kicad-footprints/kicad-footprints-5.1.12.ebuild @@ -0,0 +1,16 @@ +# Copyright 1999-2021 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=8 + +inherit cmake + +DESCRIPTION="Electronic Schematic and PCB design tools footprint libraries" +HOMEPAGE="https://kicad.github.io/footprints/" +SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2" + +LICENSE="CC-BY-SA-4.0" +SLOT="0" +KEYWORDS="~amd64 ~arm64 ~x86" + +RDEPEND=">=sci-electronics/kicad-5.1.0" diff --git a/sci-electronics/kicad-footprints/kicad-footprints-6.0.0_rc1.ebuild b/sci-electronics/kicad-footprints/kicad-footprints-6.0.0_rc1.ebuild new file mode 100644 index 000000000000..e640cdac16e1 --- /dev/null +++ b/sci-electronics/kicad-footprints/kicad-footprints-6.0.0_rc1.ebuild @@ -0,0 +1,36 @@ +# Copyright 1999-2021 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=7 + +inherit cmake + +DESCRIPTION="Electronic Schematic and PCB design tools footprint libraries" +HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-footprints" + +if [[ ${PV} == 9999 ]]; then + EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-footprints.git" + inherit git-r3 +else + MY_PV="${PV/_rc/-rc}" + MY_P="${PN}-${MY_PV}" + SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${MY_PV}/${MY_P}.tar.gz -> ${P}.tar.gz" + + if [[ ${PV} != *_rc* ]] ; then + KEYWORDS="~amd64 ~arm64 ~x86" + fi + + S="${WORKDIR}/${PN}-${MY_PV}" +fi + +LICENSE="CC-BY-SA-4.0" +SLOT="0" +IUSE="" + +DEPEND="" +RDEPEND=">=sci-electronics/kicad-5.99" + +if [[ ${PV} == 9999 ]] ; then +# x11-misc-util/macros only required on live ebuilds + BDEPEND+=" >=x11-misc/util-macros-1.18" +fi diff --git a/sci-electronics/kicad-footprints/kicad-footprints-9999.ebuild b/sci-electronics/kicad-footprints/kicad-footprints-9999.ebuild new file mode 100644 index 000000000000..965cbab236bb --- /dev/null +++ b/sci-electronics/kicad-footprints/kicad-footprints-9999.ebuild @@ -0,0 +1,36 @@ +# Copyright 1999-2021 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=7 + +inherit cmake + +DESCRIPTION="Electronic Schematic and PCB design tools footprint libraries" +HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-footprints" + +if [[ ${PV} == 9999 ]]; then + EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-footprints.git" + inherit git-r3 +else + MY_PV="${PV/_rc/-rc}" + MY_P="${PN}-${MY_PV}" + SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${MY_PV}/${MY_P}.tar.gz -> ${P}.tar.gz" + + if [[ ${PV} != *_rc* ]] ; then + KEYWORDS="~amd64 ~arm64 ~x86" + fi + + S="${WORKDIR}/${PN}-${MY_PV}" +fi + +LICENSE="CC-BY-SA-4.0" +SLOT="0" +IUSE="" + +DEPEND="" +RDEPEND=">=sci-electronics/kicad-5.99" + +if [[ ${PV} == 9999 ]] ; then + # x11-misc-util/macros only required on live ebuilds + BDEPEND+=" >=x11-misc/util-macros-1.18" +fi diff --git a/sci-electronics/kicad-i18n/Manifest b/sci-electronics/kicad-i18n/Manifest index d3072fba91f5..d4b70499495c 100644 --- a/sci-electronics/kicad-i18n/Manifest +++ b/sci-electronics/kicad-i18n/Manifest @@ -1,3 +1,3 @@ -DIST kicad-i18n-5.1.10.tar.bz2 2934783 BLAKE2B b836c12d5265d9da36a4647b8f8e956c7a7a1ebc8a9467f0c4b1ae6d3deaddaddd6c42811bfa6d89440015f1273bb2356bbd91b43ed62e25b402f4b203f598bc SHA512 c40d170ae247f376da03159eeddafbbb1828612ce274714f6111f1be16d122808e7ecdbd3d79dcf90f13f43ed55d603055eafcaf69cab7323367db711631f789 -EBUILD kicad-i18n-5.1.10.ebuild 460 BLAKE2B b4090cb8b86e3dea31620c8532e3ea5367fea3cbbff5b9f50b9d65d1a29c13a2e990c2b4d7beb3631f87ff7d87abfee3f62c8df2c86fbe83240a88f08ef0d6ca SHA512 962cdb1369df1d10e05271c01af34bb1affd281e3971de9b5bccdadfdf47a17f063a8d31d3c7788a85f1ec6254b768579d7b747d987ae1538b2c68ae67549aeb +DIST kicad-i18n-5.1.12.tar.bz2 2934525 BLAKE2B 7aef8a0e5213be38e5517a539469cba41d67dc2495539c7f5722f6cf7e3a86b8eaefa341d18a621b36c100ddd89466fbf5ac9d8071e5f78587161a8c0bb430d1 SHA512 178a17919e762f284aaf1fab75d527085a2bcec8dc6e236a552c6c471aab5ca4f747d297d8f148dbe9cc80a0c4994b712b54da077029ff484006b6c42dc22916 +EBUILD kicad-i18n-5.1.12.ebuild 460 BLAKE2B 38b747ca7fabe171abf556b066d32a3df6341e251e281d77b22d03003618a031f40a7af9833f0c9d4e298d1fdac23a42dec3ced550a763ffa4fe0dde3a0d1b58 SHA512 f52004d96a8178f9dfef2f150809c24328b28d7c2e470153be50d4508be5a203f207367548f3231328b5988e884bf1d01684c719830c4d6e57f9e15059503f47 MISC metadata.xml 479 BLAKE2B fd990df6242d9081bb213dac1e32e547db6d02a8dd5ecd149f6bd24ba7f89dba98b1157406c4ef3c86e228ee3ed5f18017a76eeb49b40c317479e19dca0012c3 SHA512 3a14633361827d68742c28e7bd2c77990c7ae5370c32db8969e944378f180354e3e07cede2aa63454de9732b2b9c5a9e8a5e76b8e7d914251c31f5be3a666824 diff --git a/sci-electronics/kicad-i18n/kicad-i18n-5.1.10.ebuild b/sci-electronics/kicad-i18n/kicad-i18n-5.1.10.ebuild deleted file mode 100644 index 3a3a991dcd71..000000000000 --- a/sci-electronics/kicad-i18n/kicad-i18n-5.1.10.ebuild +++ /dev/null @@ -1,17 +0,0 @@ -# Copyright 1999-2021 Gentoo Authors -# Distributed under the terms of the GNU General Public License v2 - -EAPI=7 - -inherit cmake - -DESCRIPTION="Electronic Schematic and PCB design tools GUI translations" -HOMEPAGE="https://gitlab.com/kicad/code/kicad-i18n" -SRC_URI="https://gitlab.com/kicad/code/${PN}/-/archive/${PV}/${P}.tar.bz2" - -LICENSE="CC-BY-SA-4.0" -SLOT="0" -KEYWORDS="~amd64 ~arm64 ~x86" - -BDEPEND="sys-devel/gettext" -RDEPEND=">=sci-electronics/kicad-5.1.6" diff --git a/sci-electronics/kicad-i18n/kicad-i18n-5.1.12.ebuild b/sci-electronics/kicad-i18n/kicad-i18n-5.1.12.ebuild new file mode 100644 index 000000000000..ec60fdc59456 --- /dev/null +++ b/sci-electronics/kicad-i18n/kicad-i18n-5.1.12.ebuild @@ -0,0 +1,17 @@ +# Copyright 1999-2021 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=8 + +inherit cmake + +DESCRIPTION="Electronic Schematic and PCB design tools GUI translations" +HOMEPAGE="https://gitlab.com/kicad/code/kicad-i18n" +SRC_URI="https://gitlab.com/kicad/code/${PN}/-/archive/${PV}/${P}.tar.bz2" + +LICENSE="CC-BY-SA-4.0" +SLOT="0" +KEYWORDS="~amd64 ~arm64 ~x86" + +BDEPEND="sys-devel/gettext" +RDEPEND=">=sci-electronics/kicad-5.1.6" diff --git a/sci-electronics/kicad-meta/Manifest b/sci-electronics/kicad-meta/Manifest index a8d6feab70ce..cf7e1e73d162 100644 --- a/sci-electronics/kicad-meta/Manifest +++ b/sci-electronics/kicad-meta/Manifest @@ -1,2 +1,3 @@ -EBUILD kicad-meta-5.1.10.ebuild 620 BLAKE2B 65e0125c6b6d1c9d608dc80fc1a90094f34e653dc36d967f33eb6177042bc5fa7848cb14086911de3aa15be2816b67a019cdda326f1fde22ec55f2f2cf0f16b7 SHA512 0c7976d70ea6965aa6472257a16b81811b85129e155781a491d809c0b2e456a83cd2a0cc9e71f67ed894d1d26e037985c073618bec59083f3a268b5c85ba65b7 +EBUILD kicad-meta-5.1.12.ebuild 620 BLAKE2B a4c802e020999a16be0b615bb2bb18d11330b041822a283f541f98d17b6d247c9ca835c5d177928fce26a638412c94de6fdf122f5eb3c5afdc8dd7365ff6a0f2 SHA512 aa7ff651a6cc3761f88b87462b91d3e125e69c8155a95676d77013a0a9824f454cc3fa276ea88e1ef2a2c7a5cccc89e0085b698354d145e3ce38a451018d50f0 +EBUILD kicad-meta-6.0.0_rc1.ebuild 610 BLAKE2B 076a001c4e2f7293d3cb459ce663b699404aabbc44ff646e3b65d2c65ee4660e19142fad23bfe7a18d3aef5c84f1834b92a7f421eba07078219ed8bebb4529cf SHA512 4331dc1c86b91e8a2f77d04bca014d88375a88d1c48367892553f3997ec16d357073adf6a5d98296b8e53380e25e5949891852fb7571b12cee9f4b0e48083de8 MISC metadata.xml 625 BLAKE2B b85af17cbbc843d7d841344c73f0812457bf6ef209c44397bfcf5404175dc20a2525fb4b9501ca61ab686c7afb365bc7165b632ec71b907bcfbe7e651df54e0d SHA512 fdf916963a769a8b0f0d0f3ecf737c3e19a928ac3335d042fe9f57b700a9738f1e834a1f42a97efd9171fd3d218ac9f33337b30583516654efb03bc6902869c6 diff --git a/sci-electronics/kicad-meta/kicad-meta-5.1.10.ebuild b/sci-electronics/kicad-meta/kicad-meta-5.1.10.ebuild deleted file mode 100644 index 8ff9f5fb6d71..000000000000 --- a/sci-electronics/kicad-meta/kicad-meta-5.1.10.ebuild +++ /dev/null @@ -1,29 +0,0 @@ -# Copyright 1999-2021 Gentoo Authors -# Distributed under the terms of the GNU General Public License v2 - -EAPI=7 - -DESCRIPTION="Electronic Schematic and PCB design tools (meta package)" -HOMEPAGE="http://www.kicad.org" -SRC_URI="" - -LICENSE="metapackage" -SLOT="0" -KEYWORDS="~amd64 ~arm64" -IUSE="doc minimal nls" - -RDEPEND=" - >=sci-electronics/kicad-${PV} - >=sci-electronics/kicad-symbols-${PV} - >=sci-electronics/kicad-footprints-${PV} - doc? ( - >=app-doc/kicad-doc-${PV} - ) - !minimal? ( - >=sci-electronics/kicad-packages3d-${PV} - >=sci-electronics/kicad-templates-${PV} - ) - nls? ( - >=sci-electronics/kicad-i18n-${PV} - ) -" diff --git a/sci-electronics/kicad-meta/kicad-meta-5.1.12.ebuild b/sci-electronics/kicad-meta/kicad-meta-5.1.12.ebuild new file mode 100644 index 000000000000..03f88d62d7d9 --- /dev/null +++ b/sci-electronics/kicad-meta/kicad-meta-5.1.12.ebuild @@ -0,0 +1,29 @@ +# Copyright 1999-2021 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=8 + +DESCRIPTION="Electronic Schematic and PCB design tools (meta package)" +HOMEPAGE="http://www.kicad.org" +SRC_URI="" + +LICENSE="metapackage" +SLOT="0" +KEYWORDS="~amd64 ~arm64" +IUSE="doc minimal nls" + +RDEPEND=" + >=sci-electronics/kicad-${PV} + >=sci-electronics/kicad-symbols-${PV} + >=sci-electronics/kicad-footprints-${PV} + doc? ( + >=app-doc/kicad-doc-${PV} + ) + !minimal? ( + >=sci-electronics/kicad-packages3d-${PV} + >=sci-electronics/kicad-templates-${PV} + ) + nls? ( + >=sci-electronics/kicad-i18n-${PV} + ) +" diff --git a/sci-electronics/kicad-meta/kicad-meta-6.0.0_rc1.ebuild b/sci-electronics/kicad-meta/kicad-meta-6.0.0_rc1.ebuild new file mode 100644 index 000000000000..13ce06ce65fd --- /dev/null +++ b/sci-electronics/kicad-meta/kicad-meta-6.0.0_rc1.ebuild @@ -0,0 +1,30 @@ +# Copyright 1999-2021 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=7 + +DESCRIPTION="Electronic Schematic and PCB design tools (meta package)" +HOMEPAGE="http://www.kicad.org" +SRC_URI="" + +LICENSE="metapackage" +SLOT="0" + +if [[ ${PV} != *_rc* ]] ; then + KEYWORDS="~amd64 ~arm64 ~x86" +fi + +IUSE="doc minimal" + +RDEPEND=" + >=sci-electronics/kicad-${PV} + >=sci-electronics/kicad-symbols-${PV} + >=sci-electronics/kicad-footprints-${PV} + doc? ( + >=app-doc/kicad-doc-${PV} + ) + !minimal? ( + >=sci-electronics/kicad-packages3d-${PV} + >=sci-electronics/kicad-templates-${PV} + ) +" diff --git a/sci-electronics/kicad-packages3d/Manifest b/sci-electronics/kicad-packages3d/Manifest index bc584d2f3754..149b6d7c4a43 100644 --- a/sci-electronics/kicad-packages3d/Manifest +++ b/sci-electronics/kicad-packages3d/Manifest @@ -1,3 +1,6 @@ -DIST kicad-packages3d-5.1.10.tar.bz2 727194444 BLAKE2B 87d210be8e32e84f29d67f861962f869f62ba1828a6557f75642c652e81239543bbe4d3a2ae8dc34767266d16cb2a51dfb8c79062b9bb90ae359001f39b7684f SHA512 95c25fe94f3e198208f073c121d234508aa8e67a621d17bc1737d14fe860c9a8cece6e7b66037f9a9c7f1a06f104b942dc2ff59f318e8b6854bdddb1d238faf4 -EBUILD kicad-packages3d-5.1.10.ebuild 599 BLAKE2B ab86a7c3fa587e6c61a926b7dd24b3e18aaa151c45e4998a343b2c47a02f8ba0fc0cd86dab494e5f79185213520fa1673eece58c6c069348e349a22b980a7745 SHA512 c6d335f511e39a9732cf84c006e53c761c01c131efa826280a323b22db7c6168707d76b5d764899a7b3e0b86eddd67b72d76e455cc6e56b597f5931826f50b90 +DIST kicad-packages3d-5.1.12.tar.bz2 727191578 BLAKE2B 534ba480de72ffbc8ac0968e5a7b04f2b7ccfb0ef8bb88bdad7e4e6d90536f9ae7bd101316512e1fa2e27b607fd0dd8e53d0729e48368362177b066c6bab3802 SHA512 eb4895e0c46448a74acf10e8c72dee6ea89fee9d53b93c0927d592f4ee944497b642ed575b1b5457ff0db731f654d054d539aff007a17b8d8366c03edb15b6d2 +DIST kicad-packages3d-6.0.0_rc1.tar.gz 943436657 BLAKE2B 19be6acc72969d62ed6db7ec8b7ed0899644caf494240919b79c87f2ddd299b6502fd097e22ea0b9b99a2fa3ed0ab2445461703553fc0cb611e4dc1a455c1f5f SHA512 b1b21bcbfd2fc2a7b8e18f1457109f783cb268312d9e076adf81cec38e4511b944c0bce6bd39a06f84211e8f5dd60afc4daffd07affce6199db51b9c2cd3084d +EBUILD kicad-packages3d-5.1.12.ebuild 602 BLAKE2B 62514efac88725f127605ddac5ddfed4abbb7e5972b6bf818785caacdcb6cb201b969fe55894ac74f2e67af4342c81482bff1892476528b7694460a2bdcce88d SHA512 9ee93e24de865787430eae1c7e4d1dbe50061f389929011b143abc627a398e8ed8f1ccfa097c8005ec969fb1a8b8e2914a0b17b5f31130c16231bd9e5d019c3c +EBUILD kicad-packages3d-6.0.0_rc1.ebuild 948 BLAKE2B aba3e55e129fad9feb303551a8ef5c04f9a5c78630b8bbc11219e7b55d8cb131f6fa33c3129b4a8f166a49b8f9333135b0e5817b2504b679757c4f976deb62d0 SHA512 b851cb00fd1ce776693027054a9b65f723081836b7bb6b8104fe953624a28ca357232b0d5e115ac3ea30953e4ea4d8a98d4b4a2fe7b23500872369ac3f51842b +EBUILD kicad-packages3d-9999.ebuild 932 BLAKE2B 7b1542d38004eb7adee38b55af0d2f0d70f67408e21defb2bbb517f63b7da46d9bd29847f956313c983e7f754b16dc408b01b787699b4d0a8440466397e6d665 SHA512 b1a4d9011e6e54b0cc9bb71dfb2a98459c67988c4eebc17a7ae02e36f4e59a0613d6ee8820f17a60fde8a1c723f1a17136e709f415359931877738e38e8aa79e MISC metadata.xml 976 BLAKE2B 70851f4db73dbf597cb669018fe27e4e1507cf4e86fa82488751a27fbdb8e85eb13d81ec42d62685c459a8c56cb1899579430132bc9ae4c0c0c2fdcb756bae1b SHA512 1e6512afe9ff98ee23c33bb339e1b6ad4b9da8d2bdf6ea52f40874ab77e4918aa565a550c384ecdc693a2f7b366cd07d4c5abae52dfc6177cf9262ad1a01d7b4 diff --git a/sci-electronics/kicad-packages3d/kicad-packages3d-5.1.10.ebuild b/sci-electronics/kicad-packages3d/kicad-packages3d-5.1.10.ebuild deleted file mode 100644 index ce0d62fefa15..000000000000 --- a/sci-electronics/kicad-packages3d/kicad-packages3d-5.1.10.ebuild +++ /dev/null @@ -1,22 +0,0 @@ -# Copyright 1999-2021 Gentoo Authors -# Distributed under the terms of the GNU General Public License v2 - -EAPI=7 - -inherit check-reqs cmake - -DESCRIPTION="Electronic Schematic and PCB design tools 3D package libraries" -HOMEPAGE="https://kicad.github.io/packages3d/" -SRC_URI="https://gitlab.com/kicad/libraries/kicad-packages3D/-/archive/${PV}/kicad-packages3D-${PV}.tar.bz2 -> ${P}.tar.bz2" - -LICENSE="CC-BY-SA-4.0" -SLOT="0" -KEYWORDS="~amd64 ~arm64" -IUSE="occ +oce" - -REQUIRED_USE="|| ( occ oce )" - -RDEPEND=">=sci-electronics/kicad-5.1.0[occ=,oce=]" - -CHECKREQS_DISK_BUILD="11G" -S="${WORKDIR}/${P/3d/3D}" diff --git a/sci-electronics/kicad-packages3d/kicad-packages3d-5.1.12.ebuild b/sci-electronics/kicad-packages3d/kicad-packages3d-5.1.12.ebuild new file mode 100644 index 000000000000..594ef53b2fc0 --- /dev/null +++ b/sci-electronics/kicad-packages3d/kicad-packages3d-5.1.12.ebuild @@ -0,0 +1,22 @@ +# Copyright 1999-2021 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=8 + +inherit check-reqs cmake + +DESCRIPTION="Electronic Schematic and PCB design tools 3D package libraries" +HOMEPAGE="https://kicad.github.io/packages3d/" +SRC_URI="https://gitlab.com/kicad/libraries/kicad-packages3D/-/archive/${PV}/kicad-packages3D-${PV}.tar.bz2 -> ${P}.tar.bz2" + +LICENSE="CC-BY-SA-4.0" +SLOT="0" +KEYWORDS="~amd64 ~arm64" +IUSE="occ +oce" + +REQUIRED_USE="|| ( occ oce )" + +RDEPEND=">=sci-electronics/kicad-5.1.0[occ=,oce(-)=]" + +CHECKREQS_DISK_BUILD="11G" +S="${WORKDIR}/${P/3d/3D}" diff --git a/sci-electronics/kicad-packages3d/kicad-packages3d-6.0.0_rc1.ebuild b/sci-electronics/kicad-packages3d/kicad-packages3d-6.0.0_rc1.ebuild new file mode 100644 index 000000000000..f5a45cc6b9cd --- /dev/null +++ b/sci-electronics/kicad-packages3d/kicad-packages3d-6.0.0_rc1.ebuild @@ -0,0 +1,37 @@ +# Copyright 1999-2021 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=7 + +inherit check-reqs cmake + +DESCRIPTION="Electronic Schematic and PCB design tools 3D package libraries" +HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-packages3D" + +if [[ ${PV} == 9999 ]]; then + EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-packages3D.git" + inherit git-r3 +else + MY_PV="${PV/_rc/-rc}" + MY_P="${PN}-${MY_PV}" + SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${MY_PV}/${MY_P}.tar.gz -> ${P}.tar.gz" + + if [[ ${PV} != *_rc* ]] ; then + KEYWORDS="~amd64 ~arm64 ~x86" + fi + + S="${WORKDIR}/${PN/3d/3D}-${MY_PV}-1080b6e565e56bae9be46db2278a1542092d7a2d" +fi + +IUSE="+occ" +LICENSE="CC-BY-SA-4.0" +SLOT="0" + +RDEPEND=">=sci-electronics/kicad-5.1.0[occ=]" + +if [[ ${PV} == 9999 ]] ; then + # x11-misc-util/macros only required on live ebuilds + BDEPEND=">=x11-misc/util-macros-1.18" +fi + +CHECKREQS_DISK_BUILD="11G" diff --git a/sci-electronics/kicad-packages3d/kicad-packages3d-9999.ebuild b/sci-electronics/kicad-packages3d/kicad-packages3d-9999.ebuild new file mode 100644 index 000000000000..4ef1bc6b0f7e --- /dev/null +++ b/sci-electronics/kicad-packages3d/kicad-packages3d-9999.ebuild @@ -0,0 +1,36 @@ +# Copyright 1999-2021 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=7 + +inherit check-reqs cmake + +DESCRIPTION="Electronic Schematic and PCB design tools 3D package libraries" +HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-packages3D" + +if [[ ${PV} == 9999 ]]; then + EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-packages3D.git" + inherit git-r3 +else + MY_PV="${PV/_rc/-rc}" + MY_P="${PN}-${MY_PV}" + SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${MY_PV}/${MY_P}.tar.gz -> ${P}.tar.gz" + S="${WORKDIR}/${PN/3d/3D}-${MY_PV}" # check for directory hash + + if [[ ${PV} != *_rc* ]] ; then + KEYWORDS="~amd64 ~arm64 ~x86" + fi +fi + +IUSE="+occ" +LICENSE="CC-BY-SA-4.0" +SLOT="0" + +RDEPEND=">=sci-electronics/kicad-5.99[occ=]" + +if [[ ${PV} == 9999 ]] ; then + # x11-misc-util/macros only required on live ebuilds + BDEPEND=">=x11-misc/util-macros-1.18" +fi + +CHECKREQS_DISK_BUILD="11G" diff --git a/sci-electronics/kicad-symbols/Manifest b/sci-electronics/kicad-symbols/Manifest index f4ec7984cc36..0a67f9f2ab5d 100644 --- a/sci-electronics/kicad-symbols/Manifest +++ b/sci-electronics/kicad-symbols/Manifest @@ -1,3 +1,6 @@ -DIST kicad-symbols-5.1.10.tar.bz2 1815573 BLAKE2B 030847bef5b0420fcbc0d77adcf14f5e08b738ef7c547fae9da70fb70ad1f94149eaf482d1d3da667b369bad6e08995fd3920807cec45bb46efde882404ce820 SHA512 f9dd5a0ee135bb37e1be723c6213f3c2148b1579fab5f56c859d0ca0b55afb81143244cdaedd6d14ab73228176be9770b7dc04a4fe118e9b9f1464c5f79c6b46 -EBUILD kicad-symbols-5.1.10.ebuild 429 BLAKE2B d9f18913bda85462cfeb321b03ca36c8cece223c7ad00233e3d1ddd81a09c33072dea13d19b1babc2a1b6263e593af4163cbe6e2845a9aca28f1aeeee3f7366d SHA512 4d5fb02b38b7cbd944f675b8be69fd9a2f6b4838ca4d535dd37eff4304d7227da15f9d860622552f7e46992fe909eac24a7e0f63af982805c525e3e4e861644a +DIST kicad-symbols-5.1.12.tar.bz2 1815145 BLAKE2B e3a74c0e2cb24f4286cdd7fa63b62c72c39575475305680ba8e19d5b3acb5c6cbff1e17d37d169043949ad89ffb9b94f0341e1faf7d063c1cf9872d31b863e21 SHA512 32e73b8a43e54779e4b95fc13505d603c7ef70600467a1e38f2685288aa9223ca29cc44a552d8c02e153cbf3048faa59610bc92e81dbbed7a432c16db0bd05f6 +DIST kicad-symbols-6.0.0_rc1.tar.gz 4738558 BLAKE2B 2fb0e50b4a088c7f3d9ba0b919eb917b322109d0f9d4c181d9d6ae4f5daa3812f117b1a6dd198e30e5e8b774015a794a1214177b14d5a07425c402f2c7c10f1d SHA512 dd1e93fad1d161718aa98a6806a9cba38dbfc6d6bde80feefb5ec709be593794670c979c849db7b386ab4cd703e2e4d385ecae20f99a2332e55869f0f4d5737e +EBUILD kicad-symbols-5.1.12.ebuild 429 BLAKE2B f05364cdfb4fc1e8e6a79722c374d2f260ab46c6e35c09d209e1121cb6f188177073c2ef05283dae3700f8c3c6767216666f9460044e6c9b1525766b0898b98f SHA512 7cf1cff346c6ce05516825d89d08c25a7af0903464e92cd0003fe212bb58fb870c26692dbcc932ef1a552729e6927ed08e291ff0a022dcd4403ddb632f02ccee +EBUILD kicad-symbols-6.0.0_rc1.ebuild 853 BLAKE2B 3dc1b33c750bf72c8d4cc4a3b74a343896821b2550c7f62da393780d76bf7cb9c58d2d77329af800e2831cc04219959a3a867e2346ebd21b205292ee3f74a427 SHA512 e2aae5d0b1deba924b15ec05b29c487f7b8afd50f69c33deaa0f5c484feedbda5a12f150e8224cea28cfc2dfcd7ec77c0e95f8b8919ba9af08dbb7d10a1542ef +EBUILD kicad-symbols-9999.ebuild 843 BLAKE2B 4820693e507a2640e27cd413fedd52037e1954ebd550dfe77076dc0bcd257a9badb716741432acd960226722b6f1cf2cd6a3e7a002bf39153a3a7f27e0b2a7c0 SHA512 473d4ca330a3b89acab1a7be55b3c986d68021f31d9b6e1368424c69b3c4c406cb9d881edb1c1500c155aa0572e41bdb872a779ce3e0910e0baf589e4be1f155 MISC metadata.xml 724 BLAKE2B 10eccd33b4ca07e25e2b3ce44d30583671b33836f7fb7a9c68b063bb267529fb0e2988b71bc57d0d92967f583ae3ac4e0cdf0762da687a834f51620f45df5411 SHA512 76569f2955cf1db93bbac820d513aa4d58f4bf27089736a9adc98e1df4d21c0c80be6c894dc2eb024b2cb0271e37a479b15ce68d377bd028df2becf5166958a2 diff --git a/sci-electronics/kicad-symbols/kicad-symbols-5.1.10.ebuild b/sci-electronics/kicad-symbols/kicad-symbols-5.1.10.ebuild deleted file mode 100644 index 85dbde951ce9..000000000000 --- a/sci-electronics/kicad-symbols/kicad-symbols-5.1.10.ebuild +++ /dev/null @@ -1,16 +0,0 @@ -# Copyright 1999-2021 Gentoo Authors -# Distributed under the terms of the GNU General Public License v2 - -EAPI=7 - -inherit cmake - -DESCRIPTION="Electronic Schematic and PCB design tools symbol libraries" -HOMEPAGE="https://kicad.github.io/symbols/" -SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2" - -LICENSE="CC-BY-SA-4.0" -SLOT="0" -KEYWORDS="~amd64 ~arm64 ~x86" - -RDEPEND=">=sci-electronics/kicad-5.1.0" diff --git a/sci-electronics/kicad-symbols/kicad-symbols-5.1.12.ebuild b/sci-electronics/kicad-symbols/kicad-symbols-5.1.12.ebuild new file mode 100644 index 000000000000..0af175056d99 --- /dev/null +++ b/sci-electronics/kicad-symbols/kicad-symbols-5.1.12.ebuild @@ -0,0 +1,16 @@ +# Copyright 1999-2021 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=8 + +inherit cmake + +DESCRIPTION="Electronic Schematic and PCB design tools symbol libraries" +HOMEPAGE="https://kicad.github.io/symbols/" +SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2" + +LICENSE="CC-BY-SA-4.0" +SLOT="0" +KEYWORDS="~amd64 ~arm64 ~x86" + +RDEPEND=">=sci-electronics/kicad-5.1.0" diff --git a/sci-electronics/kicad-symbols/kicad-symbols-6.0.0_rc1.ebuild b/sci-electronics/kicad-symbols/kicad-symbols-6.0.0_rc1.ebuild new file mode 100644 index 000000000000..985b0dfbfdba --- /dev/null +++ b/sci-electronics/kicad-symbols/kicad-symbols-6.0.0_rc1.ebuild @@ -0,0 +1,36 @@ +# Copyright 1999-2021 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=7 + +inherit cmake + +DESCRIPTION="Electronic Schematic and PCB design tools symbol libraries" +HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-symbols" + +if [[ ${PV} == 9999 ]]; then + EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-symbols.git" + inherit git-r3 +else + MY_PV="${PV/_rc/-rc}" + MY_P="${PN}-${MY_PV}" + SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${MY_PV}/${MY_P}.tar.gz -> ${P}.tar.gz" + + if [[ ${PV} != *_rc* ]] ; then + KEYWORDS="~amd64 ~arm64 ~x86" + fi + + S="${WORKDIR}/${PN}-${MY_PV}" +fi + +LICENSE="CC-BY-SA-4.0" +SLOT="0" +IUSE="" + +DEPEND="" +RDEPEND=">=sci-electronics/kicad-5.99" + +if [[ ${PV} == 9999 ]] ; then + # x11-misc-util/macros only required on live ebuilds + BDEPEND+=" >=x11-misc/util-macros-1.18" +fi diff --git a/sci-electronics/kicad-symbols/kicad-symbols-9999.ebuild b/sci-electronics/kicad-symbols/kicad-symbols-9999.ebuild new file mode 100644 index 000000000000..261092ede9b0 --- /dev/null +++ b/sci-electronics/kicad-symbols/kicad-symbols-9999.ebuild @@ -0,0 +1,35 @@ +# Copyright 1999-2021 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=7 + +inherit cmake + +DESCRIPTION="Electronic Schematic and PCB design tools symbol libraries" +HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-symbols" + +if [[ ${PV} == 9999 ]]; then + EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-symbols.git" + inherit git-r3 +else + MY_PV="${PV/_rc/-rc}" + MY_P="${PN}-${MY_PV}" + SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${MY_PV}/${MY_P}.tar.gz -> ${P}.tar.gz" + + if [[ ${PV} != *_rc* ]] ; then + KEYWORDS="~amd64 ~arm64 ~x86" + fi + + S="${WORKDIR}/${PN}-${MY_PV}" +fi + +LICENSE="CC-BY-SA-4.0" +SLOT="0" +IUSE="" + +RDEPEND=">=sci-electronics/kicad-5.99" + +if [[ ${PV} == 9999 ]] ; then + # x11-misc-util/macros only required on live ebuilds + BDEPEND+=" >=x11-misc/util-macros-1.18" +fi diff --git a/sci-electronics/kicad-templates/Manifest b/sci-electronics/kicad-templates/Manifest index 442ee320bb2f..b5e54c9d4483 100644 --- a/sci-electronics/kicad-templates/Manifest +++ b/sci-electronics/kicad-templates/Manifest @@ -1,3 +1,6 @@ -DIST kicad-templates-5.1.10.tar.bz2 1552124 BLAKE2B 95e876fb049abc5fb1210bea63e389c88b43efbd8154ee95d4f910621954795c20228f5a12a5d692ca771d55783c7b9c6942c21bc27d54027a68aa91152a406a SHA512 2e2f3fbf79f82e3539d6ee31aef5c854695683b7cd142a4293c37ef04845f6d90e8fb2f4f9027efc0e3211703336d4dc65f2573266be0a20b1b139fbe3ee55cf -EBUILD kicad-templates-5.1.10.ebuild 438 BLAKE2B 4c32d3f7a0231ac4333f90cb64e36ef336e3af2c3cad57fac2a8a92bc946d136f7905a4fa8272a5e4ee11013561f230f1a6e7c6041a264ba62947b24fb3387fb SHA512 c82e3e3e366f60478598e26524767de65302f241da8d368f2278ff81cfb3b1384fdfc84dba2f4b2b77a2bf405c081462ef68f80a353a6cf8056d9c103041da2a +DIST kicad-templates-5.1.12.tar.bz2 1552173 BLAKE2B 51791729e049aa835f15b80f783d07c099dd177783b1a78209e7c7db709a3b0a18bd22572227ab5193d4da955796bc4c78f502fdedd275f379f84fa49108a324 SHA512 4f44816fa78048c0db93ecd10ae980236bc5ef1ed5170270ac761dd5fc32d08a5c8bf733837e6dceecf736f75fa09780326af813de04cbd69345cf376815d430 +DIST kicad-templates-6.0.0_rc1.tar.gz 2248926 BLAKE2B 54a45a32788650f3895f91fb993768a3caea55b304c38f07c933b7765f96e30b93b6c206a49f28b0813b9739e1ecd3e48e4939d9150089d115d59a98a1308dc2 SHA512 7e7604a6aa1657f222f258c3f9d62b1175e239bbec8c5ea2a681293786d2b04b31c5b9f05da031661364017464de741fbe823fd532eeadfb0a8c220051ca7f0e +EBUILD kicad-templates-5.1.12.ebuild 438 BLAKE2B 245672d11e479bd59d83eae963c7606addb45d8202a7212034dc9d92f8eb27b2809844848722dccc2777dd4126e67b48049934a1077774f598a8ed9e1c1fc638 SHA512 e9e21e3a0b429a9f1d267f6d0f9dde8405ababdfb5cd81c8e3d8f6f7e1f136490c88238fbc699b296631cc75359f8c2205bd0f10f160cd15fcd1dcdce777c122 +EBUILD kicad-templates-6.0.0_rc1.ebuild 690 BLAKE2B a91c08077e54223fdf646bb8a28ef168da5d7df0525ebb23a2f527f5d1c94b292679cde75de8476f917e2279eaaf1b21246126ff072e3a08cda4a70c853e1bfe SHA512 9f6be9016a944498a8e8302bbb2e610f14c255f399b58564b68e04faf6f3d758823063d5b4c6400a8aac612768ba006657225b0f27d0abe30a5aebe2ea908e45 +EBUILD kicad-templates-9999.ebuild 690 BLAKE2B a91c08077e54223fdf646bb8a28ef168da5d7df0525ebb23a2f527f5d1c94b292679cde75de8476f917e2279eaaf1b21246126ff072e3a08cda4a70c853e1bfe SHA512 9f6be9016a944498a8e8302bbb2e610f14c255f399b58564b68e04faf6f3d758823063d5b4c6400a8aac612768ba006657225b0f27d0abe30a5aebe2ea908e45 MISC metadata.xml 484 BLAKE2B 3542f585439e05e86fc86e11f524c15f10f835fe5419141b6361ae4503dc6dff5d0c9d7bb24134c3722e4ab11d6cad6d450625f7e5edc822b3d0ee82fd8bde48 SHA512 03468e0fa2d56c7241219eb07b1cddc225b5e18f6800a513005f76cd19110b4ad9d8f9b2895107695b6c62c8f276b38bbf544a7651b61f3e83878c94a40b8886 diff --git a/sci-electronics/kicad-templates/kicad-templates-5.1.10.ebuild b/sci-electronics/kicad-templates/kicad-templates-5.1.10.ebuild deleted file mode 100644 index dce1272e1a77..000000000000 --- a/sci-electronics/kicad-templates/kicad-templates-5.1.10.ebuild +++ /dev/null @@ -1,16 +0,0 @@ -# Copyright 1999-2021 Gentoo Authors -# Distributed under the terms of the GNU General Public License v2 - -EAPI=7 - -inherit cmake - -DESCRIPTION="Electronic Schematic and PCB design tools project templates" -HOMEPAGE="https://github.com/kicad/kicad-templates" -SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2" - -LICENSE="CC-BY-SA-4.0" -SLOT="0" -KEYWORDS="~amd64 ~arm64 ~x86" - -RDEPEND=">=sci-electronics/kicad-5.1.0" diff --git a/sci-electronics/kicad-templates/kicad-templates-5.1.12.ebuild b/sci-electronics/kicad-templates/kicad-templates-5.1.12.ebuild new file mode 100644 index 000000000000..211b692af0c3 --- /dev/null +++ b/sci-electronics/kicad-templates/kicad-templates-5.1.12.ebuild @@ -0,0 +1,16 @@ +# Copyright 1999-2021 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=8 + +inherit cmake + +DESCRIPTION="Electronic Schematic and PCB design tools project templates" +HOMEPAGE="https://github.com/kicad/kicad-templates" +SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2" + +LICENSE="CC-BY-SA-4.0" +SLOT="0" +KEYWORDS="~amd64 ~arm64 ~x86" + +RDEPEND=">=sci-electronics/kicad-5.1.0" diff --git a/sci-electronics/kicad-templates/kicad-templates-6.0.0_rc1.ebuild b/sci-electronics/kicad-templates/kicad-templates-6.0.0_rc1.ebuild new file mode 100644 index 000000000000..c54c0c834164 --- /dev/null +++ b/sci-electronics/kicad-templates/kicad-templates-6.0.0_rc1.ebuild @@ -0,0 +1,28 @@ +# Copyright 1999-2021 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=7 + +inherit cmake + +DESCRIPTION="Electronic Schematic and PCB design tools project templates" +HOMEPAGE="https://github.com/kicad/kicad-templates" +if [[ ${PV} == 9999 ]]; then + EGIT_REPO_URI="https://gitlab.com/kicad/libraries/${PN}.git" + inherit git-r3 +else + MY_PV="${PV/_rc/-rc}" + MY_P="${PN}-${MY_PV}" + SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${MY_PV}/${MY_P}.tar.gz -> ${P}.tar.gz" + + if [[ ${PV} != *_rc* ]] ; then + KEYWORDS="~amd64 ~arm64 ~x86" + fi + + S="${WORKDIR}/${PN}-${MY_PV}" +fi + +LICENSE="CC-BY-SA-4.0" +SLOT="0" + +RDEPEND=">=sci-electronics/kicad-5.99" diff --git a/sci-electronics/kicad-templates/kicad-templates-9999.ebuild b/sci-electronics/kicad-templates/kicad-templates-9999.ebuild new file mode 100644 index 000000000000..c54c0c834164 --- /dev/null +++ b/sci-electronics/kicad-templates/kicad-templates-9999.ebuild @@ -0,0 +1,28 @@ +# Copyright 1999-2021 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=7 + +inherit cmake + +DESCRIPTION="Electronic Schematic and PCB design tools project templates" +HOMEPAGE="https://github.com/kicad/kicad-templates" +if [[ ${PV} == 9999 ]]; then + EGIT_REPO_URI="https://gitlab.com/kicad/libraries/${PN}.git" + inherit git-r3 +else + MY_PV="${PV/_rc/-rc}" + MY_P="${PN}-${MY_PV}" + SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${MY_PV}/${MY_P}.tar.gz -> ${P}.tar.gz" + + if [[ ${PV} != *_rc* ]] ; then + KEYWORDS="~amd64 ~arm64 ~x86" + fi + + S="${WORKDIR}/${PN}-${MY_PV}" +fi + +LICENSE="CC-BY-SA-4.0" +SLOT="0" + +RDEPEND=">=sci-electronics/kicad-5.99" diff --git a/sci-electronics/kicad/Manifest b/sci-electronics/kicad/Manifest index 3db97fe47a19..8e499e83c3aa 100644 --- a/sci-electronics/kicad/Manifest +++ b/sci-electronics/kicad/Manifest @@ -2,6 +2,9 @@ AUX kicad-5.1.5-help.patch 4660 BLAKE2B c0521bea600748605dd581c34d63b6d084225d33 AUX kicad-5.1.5-ldflags.patch 890 BLAKE2B 2c5436fccb50886faacd84102ba7bfefa84986a5d65ea70965d2f0ded81e746e544f774bdfc0c539114dbafa3de7340bc41ea5af7a5db8a54b706221cafee7ee SHA512 4fda20a73499df81614dd920d18767d901269375d17749982d2c51cf595c873531379ac8ef57b1fc7adc1f4f028907002135f2e0fccaf4ee753184298e7cf8fd AUX kicad-5.1.5-strict-aliasing.patch 916 BLAKE2B e17c085d09d5b028424d72036e6306aecf19300fa5ecc38e0a3b4f7668ed8e41aa7c66f5f6dc68f6a66905fea8947647de90788fe2ca5439703b3fe2010b287e SHA512 1000ea7dd6fef283d144ccd9d6193a68a399177e06b1f45cae5642f7c2854d16d3cf4d789f8444c6aa36028f23b7d66c6de7596ffef57c4ecdc28747fac84636 AUX kicad-5.1.6-metainfo.patch 1740 BLAKE2B 26987940c5326d5fa27eb7a00bfec8af2bc7d4f61b937f4a12aaf08665c1d9a5d2816b154c76e954f80f7c8c620861e290988bafb3af071ae059bfb92113a2f2 SHA512 bf1b9d7adc23759e9b637f2d2f3d2843f1ef33a27c02f7e892a74a8743dfdcf635f918010f0bcc4a62ba0f7e939f89fa8c7786a761ffe709f670a0d6f63b3146 -DIST kicad-5.1.10.tar.bz2 18586889 BLAKE2B 2d087e7102746d3570c4e448ab7dfb27f63eac5d5036ea55970770025eacf1f85a26f7bdb0b83be546c97dbd4e9050f46045254334ba5dcecd3197d368d1e975 SHA512 3b97279bfe7e2f45e07d347317f1b4cf9eb604331a6383916c87415354da665c80b567d031bda123445ea100ae12075f01d112b473b45516e3aa4d79d1841fa0 -EBUILD kicad-5.1.10-r1.ebuild 5198 BLAKE2B fcf5f1b1624a51582844cee0e0128fba044086717995af53af80108829b7d79b8c2e666c63bf1f1375ce078217ea82d9a88a266554e84caa7875e215c30298bc SHA512 266ae022ed7fff5574476364f6143440c4c1920824df120c1b7c84276b467d126765d24744c1c70e252bf787d099577f2125a252024fbaf1a9f3903be6c97538 -MISC metadata.xml 1044 BLAKE2B 5f2c89894fe5a3b84124215aef16a794fc80e10e14244357e0b3b43e7c01cd08ee5d2c76fc4bba3752b0b1b544fcae5db276177a99f470f322c50e5f5689d57f SHA512 5f68d01371a0778021e4f05d417589b25ec2784c168752a99a2e176be72de187b15a00b8a41a185fac75eeb7bb28e424a89e13a7f59fed416357ca289d685206 +DIST kicad-5.1.12.tar.bz2 18581842 BLAKE2B f04369fecded6fb07376efa209ddf5fcc693e73018ed728003529cdd0e6ef4a059c28106db1acf18834d938c3fbe4b9df5b5c8e0874989d35f74cf67414e6c76 SHA512 c0e5ad5b360ef788b427755aa71eeaec1a19b7520a00bb94fafba47e291f6ba067c598004efd515287800cd41f7da399d0389121e53e9669026c62f21b0a65ea +DIST kicad-6.0.0_rc1.tar.gz 44783434 BLAKE2B c19cc17666c00210f844970d8b0aad3d929470544d641f94bdfd9e521f6c954fec6098885606f72ffb75a074794358cb6632fea03fa4196dbfbcf6d6fe077c5e SHA512 75a91ea111776f879b6cee14d09bcf9caa3cdc6d8220b2b89727e4f9d57db242b6c1b4c1235035f5bb8356c8bbc3cd9b9d6d80b2529c290a3fa57d90ba4dc632 +EBUILD kicad-5.1.12.ebuild 5198 BLAKE2B 3293a0a9b260c3a3bf635045a98977ae58b899402cb43e80c9d754df5d9d82859cefc6d56946e877ca10e32d1cde28b747c2fad43c52ae6e7997c8e510963abc SHA512 38c96f65d3f05610fefd77915d55619b86ebe5c508952138b28ac4da60dd3e98bb279a6d172d4b73466361aec16036cf96ff19af23a0555599e71c8c4e60bfc7 +EBUILD kicad-6.0.0_rc1.ebuild 4395 BLAKE2B 7827d84b8a2fe37d91458cd53c49d539f6b2407381d6101a7f385b849ce53ec2c36adf54c92cc63a6205908c2776e26748309edd7dc3d78e77189212178385ac SHA512 bf0608a016fb4265ca3f8f45c89adb9b4169979afbfa47d14d14e61656aadf6b2eb3b892d1d92e0175bc0c036d791cc7540f2d64bb1bdfdfc1479aa0b04dca98 +EBUILD kicad-9999.ebuild 4397 BLAKE2B 20c4d324b9b78cbef54e39025f6a773f73339d4d0ce6fc55b37b1b3afd0bbfdff246e9a8d861da3282cbdf4daa904832f794876dd38d9545961686302efe7260 SHA512 d9a6e62cd04e0742625e6296622684ee2b2ace558f40923349529cc95aa0167ee57df298aa7d70415748abe9284278f2bdfa42765a89a59848dc3be69a1f96a2 +MISC metadata.xml 1100 BLAKE2B 0d7d4f0b90b243776a069fb7783f15a2cbedff807decfdae4dd0c3dbf1d75316a30e8e49c2b6c33b263dc47c7bd47606c628c14f7f5f1ee77eb0d2f0955ff239 SHA512 0b2978d4e5eee2e207133293822e3f6438a0554162ae972da88267d58fb9005ad0b3f69ab3f0228cca8976f93564a56c9b7ef32b94a54bb008144a6699888f53 diff --git a/sci-electronics/kicad/kicad-5.1.10-r1.ebuild b/sci-electronics/kicad/kicad-5.1.10-r1.ebuild deleted file mode 100644 index 586e7c949bb1..000000000000 --- a/sci-electronics/kicad/kicad-5.1.10-r1.ebuild +++ /dev/null @@ -1,181 +0,0 @@ -# Copyright 1999-2021 Gentoo Authors -# Distributed under the terms of the GNU General Public License v2 - -EAPI=7 - -PYTHON_COMPAT=( python3_{8,9} ) - -WX_GTK_VER="3.0-gtk3" - -inherit check-reqs cmake optfeature python-single-r1 toolchain-funcs wxwidgets xdg-utils - -DESCRIPTION="Electronic Schematic and PCB design tools" -HOMEPAGE="https://www.kicad.org" -SRC_URI="https://gitlab.com/kicad/code/${PN}/-/archive/${PV}/${P}.tar.bz2" - -LICENSE="GPL-2+ GPL-3+ Boost-1.0" -SLOT="0" -KEYWORDS="~amd64 ~arm64 ~x86" -IUSE="doc examples github +ngspice occ +oce openmp +python" - -REQUIRED_USE=" - python? ( ${PYTHON_REQUIRED_USE} ) - ?? ( occ oce ) -" - -COMMON_DEPEND=" - >=dev-libs/boost-1.61:=[context,nls,threads(+)] - media-libs/freeglut - media-libs/glew:0= - >=media-libs/glm-0.9.9.1 - media-libs/mesa[X(+)] - >=x11-libs/cairo-1.8.8:= - >=x11-libs/pixman-0.30 - x11-libs/wxGTK:${WX_GTK_VER}[X,opengl] - github? ( net-misc/curl:=[ssl] ) - ngspice? ( - >sci-electronics/ngspice-27[shared] - ) - occ? ( =dev-libs/boost-1.61:=[context,nls,threads(+),python,${PYTHON_USEDEP}] - dev-python/wxpython:4.0[${PYTHON_USEDEP}] - ') - ${PYTHON_DEPS} - ) -" -DEPEND="${COMMON_DEPEND} - python? ( >=dev-lang/swig-3.0:0 )" -RDEPEND="${COMMON_DEPEND} - sci-electronics/electronics-menu -" -BDEPEND="doc? ( app-doc/doxygen )" -CHECKREQS_DISK_BUILD="800M" - -PATCHES=( - "${FILESDIR}/${PN}-5.1.5-help.patch" - "${FILESDIR}/${PN}-5.1.5-strict-aliasing.patch" - "${FILESDIR}/${PN}-5.1.6-metainfo.patch" - "${FILESDIR}/${PN}-5.1.5-ldflags.patch" -) - -pkg_setup() { - use python && python-single-r1_pkg_setup - use openmp && tc-check-openmp - setup-wxwidgets - check-reqs_pkg_setup -} - -src_unpack() { - default_src_unpack - # For the metainfo patch to work the kicad.appdata.xml has to be moved to - # avoid QA issue. This is needed because /usr/share/appdata location is - # deprecated, it should not be used anymore by new software. - # Appdata/Metainfo files should be installed into /usr/share/metainfo - # directory. as per - # https://www.freedesktop.org/software/appstream/docs/chap-Metadata.html - mv "${S}/resources/linux/appdata" "${S}/resources/linux/metainfo" || die "Appdata move failed" -} - -src_prepare() { - # Fix OpenCASCADE lookup - sed -e 's|/usr/include/opencascade|${CASROOT}/include/opencascade|' \ - -e 's|/usr/lib|${CASROOT}/'$(get_libdir)' NO_DEFAULT_PATH|' \ - -i CMakeModules/FindOpenCASCADE.cmake || die - - cmake_src_prepare -} - -src_configure() { - xdg_environment_reset - - local mycmakeargs=( - -DKICAD_DOCS="${EPREFIX}/usr/share/doc/${PF}" - -DKICAD_HELP="${EPREFIX}/usr/share/doc/${PN}-doc-${PV}" - -DBUILD_GITHUB_PLUGIN="$(usex github)" - -DKICAD_SCRIPTING="$(usex python)" - -DKICAD_SCRIPTING_MODULES="$(usex python)" - -DKICAD_SCRIPTING_WXPYTHON="$(usex python)" - -DKICAD_SCRIPTING_WXPYTHON_PHOENIX="$(usex python)" - -DKICAD_SCRIPTING_PYTHON3="$(usex python)" - -DKICAD_SCRIPTING_ACTION_MENU="$(usex python)" - -DKICAD_SPICE="$(usex ngspice)" - -DKICAD_USE_OCC="$(usex occ)" - -DKICAD_USE_OCE="$(usex oce)" - -DKICAD_INSTALL_DEMOS="$(usex examples)" - -DCMAKE_SKIP_RPATH="ON" - ) - use python && mycmakeargs+=( - -DPYTHON_DEST="$(python_get_sitedir)" - -DPYTHON_EXECUTABLE="${PYTHON}" - -DPYTHON_INCLUDE_DIR="$(python_get_includedir)" - -DPYTHON_LIBRARY="$(python_get_library_path)" - ) - if use occ; then - if has_version "~sci-libs/opencascade-7.5.2"; then - mycmakeargs+=( - -DOCC_INCLUDE_DIR="${CASROOT}"/include/opencascade-7.5.2 - -DOCC_LIBRARY_DIR="${CASROOT}"/$(get_libdir)/opencascade-7.5.2 - ) - elif has_version "~sci-libs/opencascade-7.5.1"; then - mycmakeargs+=( - -DOCC_INCLUDE_DIR="${CASROOT}"/include/opencascade-7.5.1 - -DOCC_LIBRARY_DIR="${CASROOT}"/$(get_libdir)/opencascade-7.5.1 - ) - else - # Enable viewing 3D rendering of designs via opencascade Enable viewing 3D rendering of designs via OCE Enable python scripting support + Enable plugin content manager Kicad is an open source (GPL) software for the creation of electronic diff --git a/sci-electronics/ktechlab/Manifest b/sci-electronics/ktechlab/Manifest index 3df0be254b59..f84642e3ec6c 100644 --- a/sci-electronics/ktechlab/Manifest +++ b/sci-electronics/ktechlab/Manifest @@ -1,4 +1,7 @@ +AUX ktechlab-0.50.0-crashfix-connectors-diverging.patch 1191 BLAKE2B fa0eb5fc82d9f8f9c88f87f413b08969a4b2d681296bec8b40dbd1e4ca10ffe626b0b0e6adfc86bbdafba020a7e837a45c56d18b687f2c9dad0733e8a3f91f1d SHA512 2faa14aa0efb036b9001c187d47b18cfb866ae785c874619c16b95c9bb029165f72162a242721eeb56d50f7b4957a6fcc6a458140a1bb6518ce09aa04aacbc16 +AUX ktechlab-0.50.0-crashfix-propertyeditor.patch 1783 BLAKE2B 217a2255f46630802f3821f4a974a99b0690f9c473ef6f94b2baf1fd6dd4563ae142072e210cdaeec993d40d9fa1a8030e5074e02e6fdd89ed5f874ee15bcc0a SHA512 c9a2a68634ed04f2fdbeaab550dac0d7b276f8e0b5fea766e2d0aa0aad84b6f499058e48dd23266a3595ba5f87aa91bf7a42901b5f5c6fb14a64763b60c775e4 +AUX ktechlab-0.50.0-crashfix.patch 815 BLAKE2B 2e1ea6d6909d077c9891911ee8ccc35f1543b1e3c7409433b3585d22a489ecf2af79300fe9af605ca8b01ba6daf41d2c196fd4afd21d36c0b9c3cdc4f7a4f48f SHA512 a486c365c459c111f658c821efcf5fd06f595f325c6bfbc5d261ae1a0cf85be3e517dd3a5884d8fd242f2cde5a9802739f4ad69d8237f1143b9bfd57f15b26ef AUX ktechlab-0.50.0-deps.patch 9144 BLAKE2B 1b93b6977fdc9b1d648f276d18dcde6fb302de36d6873db561577e630d54cc81d2628fdec9cd410068e8c9b61c7ad11bbf121a6574c8463fee62b9b765e7ced4 SHA512 7ef87942c27829a40bb8a1b7b15a0e67c691b15ffffd160d05b4b6c3b812a1fc736ba78b64ac9db3f5cb8c4ca6ac1edb243decc4703e8f489a52257d322d71e1 DIST ktechlab-0.50.0.tar.xz 2195752 BLAKE2B 213bee84364f8fc99ee6991ca465ce126f7fd16932a9e399947428955156500ce57e2c93170e16698b3d5e64f294ea208bff388c0d8e2ee6551bbe97e785233e SHA512 236be69f18ae21f1dffe1ae3d9e55665b6bdfd443bf6b3ae39c2e370923bae679fe8b1b09dac3d354a61278ca9f85113804a47a95a7f1de996b330f5a4b13a42 -EBUILD ktechlab-0.50.0-r1.ebuild 1267 BLAKE2B 827cc18442b5933e52acb165b9864c8d653d236d8c9a4274237382db4ab175e2f63009ff615d2ce83de68ffbaffaafac9e316afb984f0ce05b73caff8a7d26f5 SHA512 a157a0d1eafb23950dd400f5878c743598afbdc9b5172efa5e360b5d9df0dddcb01d6ec19ae1a6af682c375dd41d57b5605337194f323b8dea77543f65b55db7 +EBUILD ktechlab-0.50.0-r2.ebuild 1343 BLAKE2B 034440493d9ecd58db5b36d278f8121d50f46069632d1d01abb29457cd1e4ea931b13f03b995c3b6785532296b7e5f877bf1538d7c87c1ba9298d1e933791a6b SHA512 6fafceb0b349a7a4489d3dc8f87331478ca246b9f77b21579af3adc5ffe3806f3e5411419abaccd8308ece21c90b27c3a508abe4795aba8d0a8321f6cf2916a1 MISC metadata.xml 458 BLAKE2B c7bcf8be3d2a3cb94a6d31a2ab64e89dc7d8b5ca3acde1a61f4f3724a331b899f156d40b02f64a1d26f008b92452e997e7ea5004eef50b9d13a02edbb9e396db SHA512 506f455f24d17973637f12558a0cee063882fb40efd44df4e78a0e2d543d204c1ff170a9722f16933f2fd65b28283450c5f06ec61dbb49b08b2d38e6cd744a9e diff --git a/sci-electronics/ktechlab/files/ktechlab-0.50.0-crashfix-connectors-diverging.patch b/sci-electronics/ktechlab/files/ktechlab-0.50.0-crashfix-connectors-diverging.patch new file mode 100644 index 000000000000..20222c89daab --- /dev/null +++ b/sci-electronics/ktechlab/files/ktechlab-0.50.0-crashfix-connectors-diverging.patch @@ -0,0 +1,38 @@ +From 1b814b266f1bd25d92b701e071473f2267330933 Mon Sep 17 00:00:00 2001 +From: "Martin T. H. Sandsmark" +Date: Mon, 22 Mar 2021 12:49:26 +0100 +Subject: [PATCH] fix crash when looking for where connectors diverge + +--- + src/electronics/ecnode.cpp | 13 +++++++++++-- + 1 file changed, 11 insertions(+), 2 deletions(-) + +diff --git a/src/electronics/ecnode.cpp b/src/electronics/ecnode.cpp +index 385844c1..28f2a556 100644 +--- a/src/electronics/ecnode.cpp ++++ b/src/electronics/ecnode.cpp +@@ -225,10 +225,18 @@ QPoint ECNode::findConnectorDivergePoint(bool *found) + if (!gotP1 || !gotP2 ) + return QPoint(0,0); + +- unsigned maxLength = p1.size() > p2.size() ? p1.size() : p2.size(); ++ // If they are differing lengths, return the end of the shortest ++ if (p1.size() < p2.size()) { ++ *found = true; ++ return p1.last(); ++ } else if (p2.size() < p1.size()) { ++ *found = true; ++ return p2.last(); ++ } ++ ++ Q_ASSERT(p1.size() == p2.size()); + +- for ( unsigned i = 1; i < maxLength; ++i ) +- { ++ for (unsigned i = 1; i < qMin(p1.size(), p2.size()); ++i) { + if ( p1[i] != p2[i] ) { + *found = true; + return p1[i-1]; +-- +GitLab + diff --git a/sci-electronics/ktechlab/files/ktechlab-0.50.0-crashfix-propertyeditor.patch b/sci-electronics/ktechlab/files/ktechlab-0.50.0-crashfix-propertyeditor.patch new file mode 100644 index 000000000000..ec970b64ad81 --- /dev/null +++ b/sci-electronics/ktechlab/files/ktechlab-0.50.0-crashfix-propertyeditor.patch @@ -0,0 +1,52 @@ +From 730a5492e4780504a80db1a27dc307d8f61b4696 Mon Sep 17 00:00:00 2001 +From: Zoltan Padrah +Date: Fri, 18 Dec 2020 20:47:55 +0200 +Subject: [PATCH] property editor: fix crash when changing a property and + clicking circuit + +apparently Ubuntu 18.04 is affected (Qt 5.9.5) +and Ubuntu 20.04 is not (Qt 5.12.8). + +to reproduce: + +1. place and select a resistor +2. in the property editor click on any of its properties, + in order to start editing it +3. click on the circuit, to stop editing of the properties +3.1. Expected: property value is kept, program continues +3.2. Actually: crash with the same stack trace from above + +Should fix issue on GitHub: +https://github.com/ktechlab/ktechlab/issues/60 +--- + src/gui/itemeditor/propertyeditor.cpp | 13 ++++++++++++- + 1 file changed, 12 insertions(+), 1 deletion(-) + +diff --git a/src/gui/itemeditor/propertyeditor.cpp b/src/gui/itemeditor/propertyeditor.cpp +index 88b86842..60821177 100644 +--- a/src/gui/itemeditor/propertyeditor.cpp ++++ b/src/gui/itemeditor/propertyeditor.cpp +@@ -476,8 +476,19 @@ void PropertyEditor::showDefaultsButton(bool show) + + void PropertyEditor::updateDefaultsButton() + { +- if (!m_editItem) ++ QTableWidgetItem *currItem = currentItem(); ++ if (!currItem) { ++ m_editItem = nullptr; + return; ++ } ++ m_editItem = dynamic_cast(currItem); ++ if (!m_editItem) { ++ qWarning() << "failed to cast current item to PropertyEditorItem, " << currItem; ++ return; ++ } ++ qDebug() << "currentItem=" << currentItem(); ++ qDebug() << "m_editItem=" << m_editItem; ++ qDebug() << "m_editItem->property=" << m_editItem->property(); + showDefaultsButton( m_editItem->property()->changed() ); + repaint(); // m_editItem->repaint(); + } +-- +GitLab + diff --git a/sci-electronics/ktechlab/files/ktechlab-0.50.0-crashfix.patch b/sci-electronics/ktechlab/files/ktechlab-0.50.0-crashfix.patch new file mode 100644 index 000000000000..c72fdd60021b --- /dev/null +++ b/sci-electronics/ktechlab/files/ktechlab-0.50.0-crashfix.patch @@ -0,0 +1,26 @@ +From e0bb9ff940f6eda544342c499998cf6142258629 Mon Sep 17 00:00:00 2001 +From: "Martin T. H. Sandsmark" +Date: Sun, 20 Dec 2020 14:43:31 +0100 +Subject: [PATCH] fix odd crash + +--- + src/nodegroup.cpp | 2 +- + 1 file changed, 1 insertion(+), 1 deletion(-) + +diff --git a/src/nodegroup.cpp b/src/nodegroup.cpp +index f4cfa2b4..94430409 100644 +--- a/src/nodegroup.cpp ++++ b/src/nodegroup.cpp +@@ -142,8 +142,7 @@ void NodeGroup::updateRoutes() + Node *next = (routeIt == routeEnd) ? n2 : (Node *)*(routeIt++); + removeRoutedNodes(¤tList, prev, next); + QPointList pointList = *it; +- if ( prev != n1 ) +- { ++ if (!pointList.isEmpty() && prev != n1) { + QPoint first = pointList.first(); + prev->moveBy( first.x() - prev->x(), first.y() - prev->y() ); + } +-- +GitLab + diff --git a/sci-electronics/ktechlab/ktechlab-0.50.0-r1.ebuild b/sci-electronics/ktechlab/ktechlab-0.50.0-r1.ebuild deleted file mode 100644 index 47f9f7469ef3..000000000000 --- a/sci-electronics/ktechlab/ktechlab-0.50.0-r1.ebuild +++ /dev/null @@ -1,47 +0,0 @@ -# Copyright 1999-2020 Gentoo Authors -# Distributed under the terms of the GNU General Public License v2 - -EAPI=7 - -ECM_EXAMPLES="true" -ECM_HANDBOOK="forceoptional" -ECM_TEST="true" -KDE_ORG_CATEGORY="sdk" -KFMIN=5.74.0 -QTMIN=5.15.1 -inherit ecm kde.org - -DESCRIPTION="IDE for microcontrollers and electronics" -HOMEPAGE="https://userbase.kde.org/KTechlab" -SRC_URI="mirror://kde/unstable/${PN}/${P}.tar.xz" - -LICENSE="GPL-2" -SLOT="5" -KEYWORDS="~amd64" -IUSE="+gpsim" - -DEPEND=" - >=dev-qt/qtdbus-${QTMIN}:5 - >=dev-qt/qtgui-${QTMIN}:5 - >=dev-qt/qtprintsupport-${QTMIN}:5 - >=dev-qt/qtwidgets-${QTMIN}:5 - >=dev-qt/qtxml-${QTMIN}:5 - >=kde-frameworks/kcompletion-${KFMIN}:5 - >=kde-frameworks/kconfig-${KFMIN}:5 - >=kde-frameworks/kconfigwidgets-${KFMIN}:5 - >=kde-frameworks/kcoreaddons-${KFMIN}:5 - >=kde-frameworks/ki18n-${KFMIN}:5 - >=kde-frameworks/kiconthemes-${KFMIN}:5 - >=kde-frameworks/kio-${KFMIN}:5 - >=kde-frameworks/kjobwidgets-${KFMIN}:5 - >=kde-frameworks/kparts-${KFMIN}:5 - >=kde-frameworks/ktexteditor-${KFMIN}:5 - >=kde-frameworks/ktextwidgets-${KFMIN}:5 - >=kde-frameworks/kwidgetsaddons-${KFMIN}:5 - >=kde-frameworks/kwindowsystem-${KFMIN}:5 - >=kde-frameworks/kxmlgui-${KFMIN}:5 - gpsim? ( dev-embedded/gpsim ) -" -RDEPEND="${DEPEND}" - -PATCHES=( "${FILESDIR}"/${P}-deps.patch ) diff --git a/sci-electronics/ktechlab/ktechlab-0.50.0-r2.ebuild b/sci-electronics/ktechlab/ktechlab-0.50.0-r2.ebuild new file mode 100644 index 000000000000..6359c002ea9a --- /dev/null +++ b/sci-electronics/ktechlab/ktechlab-0.50.0-r2.ebuild @@ -0,0 +1,50 @@ +# Copyright 1999-2021 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=8 + +ECM_EXAMPLES="true" +ECM_HANDBOOK="forceoptional" +ECM_TEST="true" +KDE_ORG_CATEGORY="sdk" +KFMIN=5.74.0 +QTMIN=5.15.1 +inherit ecm kde.org + +DESCRIPTION="IDE for microcontrollers and electronics" +HOMEPAGE="https://userbase.kde.org/KTechlab" +SRC_URI="mirror://kde/unstable/${PN}/${P}.tar.xz" + +LICENSE="GPL-2" +SLOT="5" +KEYWORDS="~amd64" +IUSE="+gpsim" + +DEPEND=" + >=dev-qt/qtdbus-${QTMIN}:5 + >=dev-qt/qtgui-${QTMIN}:5 + >=dev-qt/qtprintsupport-${QTMIN}:5 + >=dev-qt/qtwidgets-${QTMIN}:5 + >=dev-qt/qtxml-${QTMIN}:5 + >=kde-frameworks/kcompletion-${KFMIN}:5 + >=kde-frameworks/kconfig-${KFMIN}:5 + >=kde-frameworks/kconfigwidgets-${KFMIN}:5 + >=kde-frameworks/kcoreaddons-${KFMIN}:5 + >=kde-frameworks/ki18n-${KFMIN}:5 + >=kde-frameworks/kiconthemes-${KFMIN}:5 + >=kde-frameworks/kio-${KFMIN}:5 + >=kde-frameworks/kjobwidgets-${KFMIN}:5 + >=kde-frameworks/kparts-${KFMIN}:5 + >=kde-frameworks/ktexteditor-${KFMIN}:5 + >=kde-frameworks/ktextwidgets-${KFMIN}:5 + >=kde-frameworks/kwidgetsaddons-${KFMIN}:5 + >=kde-frameworks/kwindowsystem-${KFMIN}:5 + >=kde-frameworks/kxmlgui-${KFMIN}:5 + gpsim? ( dev-embedded/gpsim ) +" +RDEPEND="${DEPEND}" + +PATCHES=( + "${FILESDIR}"/${P}-deps.patch + "${FILESDIR}"/${P}-crashfix{-propertyeditor,-connectors-diverging,}.patch +) diff --git a/sci-electronics/magic/Manifest b/sci-electronics/magic/Manifest index 0badac8976f4..d5faf6bceec7 100644 --- a/sci-electronics/magic/Manifest +++ b/sci-electronics/magic/Manifest @@ -1,10 +1,12 @@ AUX magic-7.5.202-include.patch 430 BLAKE2B c3b1837db33d05af3d258f1c514187c78ee63815731998f51729da4266af0cddbf4bb8615189965451d6bb8044c932c72672b065087c84cadca5f50cfe79b09c SHA512 0ff649589b65ac608586a425ca5d2b72e8608d513dae51be7b6e8fd5a2af494b2d186025777af2389358a3be6bd86efee312b4b1c3829d1eb3e9c28bef557e2f AUX magic-7.5.202-install.patch 566 BLAKE2B db98035eea33960714f14825ce6c3512e6570e3961ff5173a3f83b5fbd21dae429f53864f933a1665478479a101fece51a4d2c8a6e6cdc54a21fb2e9a045ad1b SHA512 332882e4557d0b5fc9fd4d681edc74e501578a5e1d00c144b5d656b8f95a5c6497cff3a6b620305cde032f9628ae169ea478927820ea085dfb52507f5de7722d -AUX magic-7.5.231-verbose-build.patch 1033 BLAKE2B 60ddb821cf09c0bd89c800b12da10c57277df6bfe5dd6735d45336b468fce1d7e788ceda19e751c792d71118fbd3b4d757b94f4244f9c6f89f2ef83dcdae4bb0 SHA512 8c3344d157c70525c32a84d3779e100b9e382713132f0828a1e0f4f5c75f1b1bee87e7784d301e0750912bfb4669467b9a2189302e9b8c8f7c74772cfe282636 +AUX magic-8.3.232-libdir.patch 525 BLAKE2B 5b85f02031d18299ae098b5ff45163d1c6d16f6bbd09996df6c669571ea82820175356c41b308f5055af874effa946f91baae90c43212e8e7adf40800799c8c3 SHA512 9b7018e05ab50db82996df57891642dfe4f00b74edd8f942912f750be91017a7d204fd0bf2271cee8082cfef9c903bdb4d8606979f6b2ef201b9d7ab1cdc523a +AUX magic-8.3.232-verbose-build.patch 1041 BLAKE2B 80ab96d751ff2294e6d82f75e997ecc1ccc00588eae3a6c0d63d49a134567eae864f3a42c46c6a6e0f6b1a53473df30afefbc9a7e48385a4ea9b780523ee0ca0 SHA512 19f3b6c371efccebadb6fa85f479ec4fa32fb7a4e11af58630e7265f19f598fdfb6c0008cf500de601246a6316ccf173f96dafdcca28bbef4e09658989d67a3a AUX magic-ldflags.patch 1767 BLAKE2B 644e2cd816f1763103612376c095bd00b375a129136937842a0fec068d9651e001f31aa7f5575ae999087c7376b8d35cf3d224cb0ac34e124d8f308a6996f5e1 SHA512 ba61218111b7484bb6e60f37a3bbf5696afc887766d8de0ae0e33f9dc18023ee7eecf4b6c7012376afbfb2d3caa0e0421e03b0abee0ad1b2d9032ce80c65e355 DIST 2002a.tar.gz 6601961 BLAKE2B e34bc281b3f1bc032ba14954b781c8b0055bb9125c57944a5b5f595f8b22feb455c60f3230cf04f06bc41aa807e909d900e3d3ab746076e2c99dcbbdf51a71df SHA512 cb8e30df77e58eb37f7ada775db8a8411612897b1cdbcb59b0c4bd814fa73c6cb10a5461e27e9eecb0fe452e194ee95fbb02b568b86848e501b9c37cc24de39e DIST magic-7.5.202.tgz 3692294 BLAKE2B 8c484e7771b388df8a5e9df574a522f81b5ae34bfaa0db28f444f31a0f5737094d73d2f5467796df74f9230fe684e9c96b08b11812b5627ee5a1bcc74893a021 SHA512 62be6e781ab51a4129a520b91a35ff5c280e7e9cb825e5d71e4012205dd0b777ca8c2355fb071d7d716977d477bddd44e45ca2810d3f97bb63dfe46c5ad1ba80 -DIST magic-8.0.207.tgz 3893605 BLAKE2B 39309def79e03fd6b116482eec2f200cb2b0d2042add2e2a70b0805bc0bc75654de6a92150167ab755b21c333be917c5fac53a30e9f5ee7915fd662179ce391c SHA512 034387d774f653d6de419d597564e96242b87bf3c478045e5a649f490fe4e5431c983f6667b5900ec9ae283af2775404dfbdcbaf18419f85d7242d492360d32b -EBUILD magic-7.5.202-r1.ebuild 1903 BLAKE2B e523f4d002c84ce8a3f9a1f350d908a783a55c689685657d92432e5c0a346745c0bf297927a9c4e6e3da0b4a50ace7c8b883664e90d116d7404eea9617feeaca SHA512 2762333c3c1a0e584c0ede583dccc883fde2db05c185a71b779b27b500f547e1bba42ab1fc92e46fd6af4d11e4fdddc075653b16ef100c4a21e6a1ae5a21f25f -EBUILD magic-8.0.207.ebuild 2009 BLAKE2B 313b25b462e163036511b6d87619436c509683bbc0b2b0df282c9a9556d7b5102b1d3a4e7f0e4ab29231f9cdfa6fff63353b22ca9cdc39cfbcc3de00cb680147 SHA512 e9f0ec364c7bcd4f3412a0fa77682f268bda5f33077c84c227f981b05547e3d60c44fad12581d268e6ea9b78a483a1ddd140b45536f1a0515b1691ca2b97e8d6 +DIST magic-8.3.232.tgz 4093446 BLAKE2B 6b4b49c2b1f566b0173bead0e95e381a6632b049545dc3b26572f415ba0df3958bc5c3931b686718136185cf105e437fe70dc1f71a11707ad33afac80ddf8f2f SHA512 755b27b8f263d990ca86b3f043e1b8f8a2de75d72f1baf9c4de3a1d7cde28feecf093d8bf1497f2632327d09ce0ce0b17ccea46bdba01c5b53c52ea0f5cf5fdc +DIST magic-tech-mosis-2002a.tar.gz 6601961 BLAKE2B e34bc281b3f1bc032ba14954b781c8b0055bb9125c57944a5b5f595f8b22feb455c60f3230cf04f06bc41aa807e909d900e3d3ab746076e2c99dcbbdf51a71df SHA512 cb8e30df77e58eb37f7ada775db8a8411612897b1cdbcb59b0c4bd814fa73c6cb10a5461e27e9eecb0fe452e194ee95fbb02b568b86848e501b9c37cc24de39e +EBUILD magic-7.5.202-r1.ebuild 1904 BLAKE2B ba6f98925316ffdf962dc7db364ca7afd0b676fcf42da1f7c48a9804bcc5fca456abfd5139c1560053da89046b61223dca630cb74cd62b80daf98c5eb3e08529 SHA512 57532b68f40e4f01d6c21b9ddfc51fa9241eac988d43caf65f75a63a0ca5686760e0e4291ee2150edc4f4dcb84cf0b7a7c922e8b825e8f0b219a099789bc4ffc +EBUILD magic-8.3.232.ebuild 2255 BLAKE2B e3d5cc8df92a6f61decd1387717efbc3eeb67b4eb5265707fc03cfe84ce4163b52dbb8aa31097390dfda2cb355957ae932abc6b01b4b72b12559f09b7ba8b196 SHA512 22946269f2fe9b18850a95756a399f590b121b14179c04fb37f0d209009589e6087c6a7a04ca0748e1d848d68ec04acfda1e930fe373966b2d903ad4855973d7 MISC metadata.xml 1381 BLAKE2B 7f9458b2f3e6c1f511b1f5a0f8f31e58114e29216eaf3927a6570f16bfab24fb357a82b432414a28a16fde8f02a00fafa5b5d915681a68c621b11202dbfc3d32 SHA512 b9cd12c6e4704bde2aa963880e9321fd64239b7f9333a7daa74be6707001ed18ac61bc48dc8f85cfeac9a427e07a3bcfe07607662d206d0f5d75753e333d2632 diff --git a/sci-electronics/magic/files/magic-7.5.231-verbose-build.patch b/sci-electronics/magic/files/magic-7.5.231-verbose-build.patch deleted file mode 100644 index 64ad4fd18278..000000000000 --- a/sci-electronics/magic/files/magic-7.5.231-verbose-build.patch +++ /dev/null @@ -1,35 +0,0 @@ ---- magic-7.5.231/Makefile -+++ magic-7.5.231/Makefile -@@ -18,12 +18,10 @@ - all: $(ALL_TARGET) - - standard: -- @echo --- errors and warnings logged in file make.log -- @${MAKE} mains 2>&1 | tee -a make.log | egrep -i "(.c:|Stop.|---)" -+ @${MAKE} mains - - tcl: -- @echo --- errors and warnings logged in file make.log -- @${MAKE} tcllibrary 2>&1 | tee -a make.log | egrep -i "(.c:|Stop.|---)" -+ @${MAKE} tcllibrary - - force: clean all - -@@ -67,7 +65,7 @@ - install-magic: - @echo --- installing executable to $(DESTDIR)${BINDIR} - @echo --- installing runtime files to $(DESTDIR)${LIBDIR} -- @${MAKE} install-real 2>&1 >> install.log -+ @${MAKE} install-real - - install-real: install-dirs - for dir in ${INSTALL_CAD_DIRS}; do \ -@@ -86,7 +84,7 @@ - install-tcl: - @echo --- installing executable to $(DESTDIR)${BINDIR} - @echo --- installing runtime files to $(DESTDIR)${LIBDIR} -- @${MAKE} install-tcl-real 2>&1 >> install.log -+ @${MAKE} install-tcl-real - - install-tcl-real: install-tcl-dirs - for dir in ${INSTALL_CAD_DIRS} ${PROGRAMS}; do \ diff --git a/sci-electronics/magic/files/magic-8.3.232-libdir.patch b/sci-electronics/magic/files/magic-8.3.232-libdir.patch new file mode 100644 index 000000000000..fbfe8557287d --- /dev/null +++ b/sci-electronics/magic/files/magic-8.3.232-libdir.patch @@ -0,0 +1,13 @@ +'lib' is for 32-bit libraries only, thank you very much + +--- a/scripts/defs.mak.in ++++ b/scripts/defs.mak.in +@@ -36,7 +36,7 @@ + # Install targets may be different if dist_prefix is set by configure + INSTALL_BINDIR = @DIST_DIR@/bin + INSTALL_MANDIR = @DIST_DIR@/share/man +-INSTALL_LIBDIR = @DIST_DIR@/lib ++INSTALL_LIBDIR = ${libdir} + INSTALL_SYSDIR = ${INSTALL_LIBDIR}/magic/sys + INSTALL_SCMDIR = ${INSTALL_LIBDIR}/magic/scm + INSTALL_TCLDIR = ${INSTALL_LIBDIR}/magic/tcl diff --git a/sci-electronics/magic/files/magic-8.3.232-verbose-build.patch b/sci-electronics/magic/files/magic-8.3.232-verbose-build.patch new file mode 100644 index 000000000000..8455d7bb1931 --- /dev/null +++ b/sci-electronics/magic/files/magic-8.3.232-verbose-build.patch @@ -0,0 +1,35 @@ +--- a/Makefile ++++ b/Makefile +@@ -18,12 +18,10 @@ + all: $(ALL_TARGET) + + standard: +- @echo --- errors and warnings logged in file make.log +- @${MAKE} mains 2>&1 | tee -a make.log | egrep -i "(.c:|Stop.|---)" ++ @${MAKE} mains + + tcl: +- @echo --- errors and warnings logged in file make.log +- @${MAKE} tcllibrary 2>&1 | tee -a make.log | egrep -i "(.c:|Stop.|---)" ++ @${MAKE} tcllibrary + + force: clean all + +@@ -68,7 +66,7 @@ + install-magic: + @echo --- installing executable to $(DESTDIR)${INSTALL_BINDIR} + @echo --- installing runtime files to $(DESTDIR)${INSTALL_LIBDIR} +- @${MAKE} install-real 2>&1 >> install.log ++ @${MAKE} install-real + + install-real: install-dirs + for dir in ${INSTALL_CAD_DIRS}; do \ +@@ -89,7 +87,7 @@ + install-tcl: + @echo --- installing executable to $(DESTDIR)${INSTALL_BINDIR} + @echo --- installing runtime files to $(DESTDIR)${INSTALL_LIBDIR} +- @${MAKE} install-tcl-real 2>&1 >> install.log ++ @${MAKE} install-tcl-real + + install-tcl-real: install-tcl-dirs + for dir in ${INSTALL_CAD_DIRS} ${PROGRAMS}; do \ diff --git a/sci-electronics/magic/magic-7.5.202-r1.ebuild b/sci-electronics/magic/magic-7.5.202-r1.ebuild index 40a3643a1ebb..d0de7f316712 100644 --- a/sci-electronics/magic/magic-7.5.202-r1.ebuild +++ b/sci-electronics/magic/magic-7.5.202-r1.ebuild @@ -12,7 +12,7 @@ SRC_URI="http://www.opencircuitdesign.com/magic/archive/${P}.tgz \ LICENSE="HPND GPL-2+" SLOT="0" -KEYWORDS="amd64 ppc x86" +KEYWORDS="amd64 ~ppc x86" IUSE="" RDEPEND="sys-libs/ncurses:0= diff --git a/sci-electronics/magic/magic-8.0.207.ebuild b/sci-electronics/magic/magic-8.0.207.ebuild deleted file mode 100644 index 5c62820b86b0..000000000000 --- a/sci-electronics/magic/magic-8.0.207.ebuild +++ /dev/null @@ -1,79 +0,0 @@ -# Copyright 1999-2021 Gentoo Authors -# Distributed under the terms of the GNU General Public License v2 - -EAPI=5 - -inherit multilib epatch autotools - -DESCRIPTION="The VLSI design CAD tool" -HOMEPAGE="http://www.opencircuitdesign.com/magic/index.html" -SRC_URI="http://www.opencircuitdesign.com/magic/archive/${P}.tgz \ - ftp://ftp.mosis.edu/pub/sondeen/magic/new/beta/2002a.tar.gz" - -LICENSE="HPND GPL-2+" -SLOT="0" -KEYWORDS="~amd64 ~ppc ~x86" -IUSE="debug opengl" - -RDEPEND="sys-libs/ncurses:0= - sys-libs/readline:0= - dev-lang/tcl:0= - dev-lang/tk:0= - dev-tcltk/blt - opengl? ( virtual/glu - virtual/opengl )" -DEPEND="${RDEPEND} - app-shells/tcsh" - -src_prepare() { - epatch \ - "${FILESDIR}/${PN}-7.5.231-verbose-build.patch" - - cd scripts || die - eautoreconf - cd .. || die - - sed -i -e "s: -pg : :" tcltk/Makefile || die - - # required for >=autoconf-2.70 (bug #775422) - local ac_aux_file - for ac_aux_file in install-sh config.guess config.sub ; do - ln -s scripts/${ac_aux_file} ${ac_aux_file} || die - done -} - -src_configure() { - # Short-circuit top-level configure script to retain CFLAGS - # fix tcl/tk detection #447868 - cd scripts - CPP="cpp" econf \ - --with-tcl=yes \ - --with-tcllibs="/usr/$(get_libdir)" \ - --with-tklibs="/usr/$(get_libdir)" \ - --disable-modular \ - $(use_enable debug memdebug) \ - $(use_with opengl) -} - -src_compile() { - emake -j1 -} - -src_install() { - emake -j1 DESTDIR="${D}" install - - dodoc README README.Tcl TODO - - # Move docs from libdir to docdir and add symlink. - mv "${D}/usr/$(get_libdir)/magic/doc"/* "${D}/usr/share/doc/${PF}/" || die - rmdir "${D}/usr/$(get_libdir)/magic/doc" || die - dosym "/usr/share/doc/${PF}" "/usr/$(get_libdir)/magic/doc" - - # Move tutorial from libdir to datadir and add symlink. - dodir /usr/share/${PN} - mv "${D}/usr/$(get_libdir)/magic/tutorial" "${D}/usr/share/${PN}/" || die - dosym "/usr/share/${PN}/tutorial" "/usr/$(get_libdir)/magic/tutorial" - - # Install latest MOSIS tech files - cp -pPR "${WORKDIR}"/2002a "${D}"/usr/$(get_libdir)/magic/sys/current || die -} diff --git a/sci-electronics/magic/magic-8.3.232.ebuild b/sci-electronics/magic/magic-8.3.232.ebuild new file mode 100644 index 000000000000..c21980f211ab --- /dev/null +++ b/sci-electronics/magic/magic-8.3.232.ebuild @@ -0,0 +1,84 @@ +# Copyright 1999-2021 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=8 + +TECH_MOSIS_VER="2002a" + +DESCRIPTION="The VLSI design CAD tool" +HOMEPAGE="http://www.opencircuitdesign.com/magic/index.html" +SRC_URI="http://www.opencircuitdesign.com/${PN}/archive/${P}.tgz + http://opencircuitdesign.com/~tim/programs/${PN}/archive/${TECH_MOSIS_VER}.tar.gz \ + -> ${PN}-tech-mosis-${TECH_MOSIS_VER}.tar.gz" + +LICENSE="HPND GPL-2+" +SLOT="0" +KEYWORDS="~amd64 ~ppc ~x86" +IUSE="cairo debug opengl" + +RDEPEND="sys-libs/ncurses:0= + sys-libs/readline:0= + dev-lang/tcl:0= + dev-lang/tk:0= + dev-tcltk/blt + cairo? ( x11-libs/cairo[opengl?] ) + opengl? ( + virtual/glu + virtual/opengl + )" +DEPEND="${RDEPEND}" +BDEPEND="app-shells/tcsh" + +PATCHES=( + "${FILESDIR}"/${PN}-8.3.232-libdir.patch + "${FILESDIR}"/${PN}-8.3.232-verbose-build.patch +) + +DOCS=( README.md README.Tcl TODO ) + +src_prepare() { + default + + pushd scripts &>/dev/null || die + mv configure.in configure.ac || die + popd &>/dev/null || die + + sed -i -e "s: -pg : :" tcltk/Makefile || die + + # required for >=autoconf-2.70 (bug #775422) + local ac_aux_file + for ac_aux_file in install-sh config.guess config.sub ; do + ln -s scripts/${ac_aux_file} ${ac_aux_file} || die + done +} + +src_configure() { + # Short-circuit top-level configure script to retain CFLAGS + # fix tcl/tk detection #447868 + cd scripts || die + econf \ + --with-tcl=yes \ + --with-tcllibs="/usr/$(get_libdir)" \ + --with-tklibs="/usr/$(get_libdir)" \ + --disable-modular \ + $(use_enable debug memdebug) \ + $(use_enable cairo cairo-offscreen) \ + $(use_with opengl) +} + +src_install() { + default + + # Move docs from libdir to docdir and add symlink. + mv "${ED}/usr/$(get_libdir)/magic/doc"/* "${ED}/usr/share/doc/${PF}/" || die + rmdir "${ED}/usr/$(get_libdir)/magic/doc" || die + dosym -r "${EPREFIX}/usr/share/doc/${PF}" "/usr/$(get_libdir)/magic/doc" + + # Move tutorial from libdir to datadir and add symlink. + dodir /usr/share/${PN} + mv "${ED}/usr/$(get_libdir)/magic/tutorial" "${ED}/usr/share/${PN}/" || die + dosym -r "${EPREFIX}/usr/share/${PN}/tutorial" "/usr/$(get_libdir)/magic/tutorial" + + # Install latest MOSIS tech files + cp -pPR "${WORKDIR}"/${TECH_MOSIS_VER} "${ED}"/usr/$(get_libdir)/magic/sys/current || die +} diff --git a/sci-electronics/quartus-prime-lite/Manifest b/sci-electronics/quartus-prime-lite/Manifest deleted file mode 100644 index f4635aed1e15..000000000000 --- a/sci-electronics/quartus-prime-lite/Manifest +++ /dev/null @@ -1,7 +0,0 @@ -AUX quartus-prime-lite-15.1.0.185-qenv-lib32.patch 483 BLAKE2B e08066d40ccec48e11a25e702a21360de691c680963d4b582074656d86ea5074676e8fb8e18f9b939664479f444e6eed2c5577b613ad91c9f8630c5972201d53 SHA512 8f2e5d7115a021ab370d74743206f333410a7f35f9bd8f7a468271f2df80facb23d6c2337fc6b425e880354197238571f9a9d9f35a33e2b9bb536c19c98a33c7 -AUX quartus-prime-lite-15.1.0.185-vso-launcher.patch 647 BLAKE2B 5e86aad1d455f4b02dc303ccbd29bd5c22054538c7f1ae78c049ab94ba9dbb8249eb836aa828e3280243066f3cff0e82aea0bfdb55555f33d09ee8aa042e7923 SHA512 19669bf8fd963eab8ab51188e867ed85ea5f0fb151fc82b158a2ab307c6b0ef56b0cd11441fb252b7f70b06d0f7bf8792e6857a62e7ffcd796b1d9d1a156c6e7 -DIST Quartus-lite-15.1.0.185-linux.tar 5969776640 BLAKE2B 622060e053a9d7a91fe9b4b61f6ebf1289f3849e666b20bca801f0bd752dac0f551c7aa2b8d16b6238b6df2b174f8d352bbc2e3a2a9e270735c5581dd81420f5 SHA512 7996bc9e0561b650c0318d608b4f59560dd631e3a38c403105f797049c395ce25a0ff5d4346cf6e91338fa297d7e8752ef5a3f83f496d4431ad3591de4335045 -DIST libfreetype.so.6 785880 BLAKE2B a9c724a213d6211e954c20ea203feeacd384768bf34929719326d2a98e166c91114f4a60b223efd770ccf356662c8664c91d4bf4722325c01d310a10e3026f30 SHA512 4b0ae581df3ecc0117d8b12405d7e5203d1ec40e965c39dade16c4b5495e95c71c2c5d739ccfec7c4c90dd2f48c004f4ae49497c46927adc81c98ead52978be1 -EBUILD quartus-prime-lite-15.1.0.185-r2.ebuild 1429 BLAKE2B 98661c6f52f2969b818be31bcf94f95517eff7573a36578030d5a9b29cd89d59beca982a280a356a98de34200e730d2f40ba17856806f7c01f73d3022e56b018 SHA512 8d0188932bdaca45574b31c792c0c8c281ae178b1bfc76f1366d221f972e0335e27f5b6d3b4262d08f8e403f304ec6c31815510b7695fce8ba4916ecd067893c -EBUILD quartus-prime-lite-15.1.0.185-r3.ebuild 2719 BLAKE2B 74472c58fd58e32de7ab599a243b208b9dbbb6d757c53d446453253fdd04a103e217860c590ff14095039f01ac2f73cb25ea8ddd3ae383b29c9849a492b978cf SHA512 5ab93f8a6ec43185fe29bebfd933e14f55952069b932317faed7c855a4dda44ea0c232e7a481ac29d65b52e0e6c296cc68661faa26049f48cae296956259a132 -MISC metadata.xml 259 BLAKE2B 7e30ab0dfa75c170fea5b13380d5d2fb12c476ff3ea7e82dc562b2600f4ce6b4ab3d823e73e04b957c6a273182353a28c77042f42a383244c2210de596b8d5b8 SHA512 947547639fd7808de36e559d35ae44eba1f408e9814a529e31e8798536c23fa9198b69ac5aa216b8fc7938250838f90de702780d6d2f3c3e8379573927964a0e diff --git a/sci-electronics/quartus-prime-lite/files/quartus-prime-lite-15.1.0.185-qenv-lib32.patch b/sci-electronics/quartus-prime-lite/files/quartus-prime-lite-15.1.0.185-qenv-lib32.patch deleted file mode 100644 index 8e3f117e7959..000000000000 --- a/sci-electronics/quartus-prime-lite/files/quartus-prime-lite-15.1.0.185-qenv-lib32.patch +++ /dev/null @@ -1,11 +0,0 @@ ---- /opt/quartus-lite-15.1.0.185/quartus/adm/qenv.sh.org 2016-03-01 18:51:38.192388962 +0800 -+++ /opt/quartus-lite-15.1.0.185/quartus/adm/qenv.sh 2016-02-25 09:22:28.580259994 +0800 -@@ -136,7 +136,7 @@ - ##### Quartus path setup - - export PATH=$QUARTUS_BINDIR:$PATH --export LD_LIBRARY_PATH=$QUARTUS_BINDIR:$LD_LIBRARY_PATH -+export LD_LIBRARY_PATH=$QUARTUS_BINDIR:$LD_LIBRARY_PATH:/opt/quartus-lite-15.1.0.185/modelsim_ase/lib32 - - - # Add /adm to path, it has some helper tools diff --git a/sci-electronics/quartus-prime-lite/files/quartus-prime-lite-15.1.0.185-vso-launcher.patch b/sci-electronics/quartus-prime-lite/files/quartus-prime-lite-15.1.0.185-vso-launcher.patch deleted file mode 100644 index e152aed093cc..000000000000 --- a/sci-electronics/quartus-prime-lite/files/quartus-prime-lite-15.1.0.185-vso-launcher.patch +++ /dev/null @@ -1,19 +0,0 @@ ---- /opt/quartus-lite-15.1.0.185/modelsim_ase/vco.org 2016-03-02 19:05:09.566449182 +0800 -+++ /opt/quartus-lite-15.1.0.185/modelsim_ase/vco 2016-03-02 19:06:19.020221005 +0800 -@@ -48,6 +48,7 @@ - done - - dir=`dirname "$arg0"` -+export LD_LIBRARY_PATH=${dir}/lib32 - - vco=${uname}${utype} - case $vco in -@@ -203,7 +204,7 @@ - 2.[5-9]*) vco="linux" ;; - 2.[1-9][0-9]*) vco="linux" ;; - 3.[0-9]*) vco="linux" ;; -- *) vco="linux_rh60" ;; -+ *) vco="linux" ;; - esac - if [ ! -x "$dir/$vco/vsim" ]; then - if [ -x "$dir/linuxle/vsim" ]; then diff --git a/sci-electronics/quartus-prime-lite/metadata.xml b/sci-electronics/quartus-prime-lite/metadata.xml deleted file mode 100644 index b8d0419909b6..000000000000 --- a/sci-electronics/quartus-prime-lite/metadata.xml +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - brendanhoran/gentoo-custom - - diff --git a/sci-electronics/quartus-prime-lite/quartus-prime-lite-15.1.0.185-r2.ebuild b/sci-electronics/quartus-prime-lite/quartus-prime-lite-15.1.0.185-r2.ebuild deleted file mode 100644 index 82920e59203c..000000000000 --- a/sci-electronics/quartus-prime-lite/quartus-prime-lite-15.1.0.185-r2.ebuild +++ /dev/null @@ -1,48 +0,0 @@ -# Copyright 1999-2021 Gentoo Authors -# Distributed under the terms of the GNU General Public License v2 - -EAPI=5 - -inherit desktop - -DESCRIPTION="Full-featured EDA product for altera devices" -HOMEPAGE="https://www.altera.com/products/design-software/fpga-design/quartus-prime/overview.html" -SRC_URI="Quartus-lite-${PV}-linux.tar" -# variable to store the download URL -DOWNLOADPAGE="http://dl.altera.com/?edition=lite" - -LICENSE="Quartus-prime-megacore" -SLOT="0" -KEYWORDS="~amd64 ~x86" - -RDEPEND="media-libs/libpng-compat:1.2" - -RESTRICT="fetch bindist" - -S=${WORKDIR} - -pkg_nofetch() { - elog "Due to licensing restrictions, you need to download the distfile manually." - elog "Please navigate to ${DOWNLOADPAGE}" - elog "Sign in with your Altera account (you need to register)" - elog "Download ${SRC_URI} and place it into your DISTDIR directory" - elog "And restart the installation." -} - -pkg_pretend() { - einfo "The checksum and unpack will take quite some time to run" -} - -src_install() { - einfo "The Quartus install runs in unattended mode" - einfo "you wont see much and it will take a long time" - - ./setup.sh --mode unattended --unattendedmodeui none \ - --installdir "${D}/opt/quartus-lite-${PV}" - make_desktop_entry "/opt/quartus-lite-${PV}/quartus/bin/quartus" \ - "Quartus lite ${PV}" "/opt/quartus-lite-${PV}/quartus/adm/quartusii.png" -} - -pkg_postinst() { - elog "To launch Quartus, run /opt/quartus-lite-${PV}/quartus/bin/quartus" -} diff --git a/sci-electronics/quartus-prime-lite/quartus-prime-lite-15.1.0.185-r3.ebuild b/sci-electronics/quartus-prime-lite/quartus-prime-lite-15.1.0.185-r3.ebuild deleted file mode 100644 index 41d04df27654..000000000000 --- a/sci-electronics/quartus-prime-lite/quartus-prime-lite-15.1.0.185-r3.ebuild +++ /dev/null @@ -1,76 +0,0 @@ -# Copyright 1999-2021 Gentoo Authors -# Distributed under the terms of the GNU General Public License v2 - -EAPI=6 - -inherit desktop epatch - -DESCRIPTION="Full-featured EDA product for altera devices" -HOMEPAGE="https://www.altera.com/products/design-software/fpga-design/quartus-prime/overview.html" -SRC_URI="Quartus-lite-${PV}-linux.tar - https://github.com/brendanhoran/gentoo-custom/raw/master/files/libfreetype.so.6" -# variable to store the download URLS -DOWNLOADPAGE="http://dl.altera.com/?edition=lite" -DOWNLOADFT="https://raw.githubusercontent.com/brendanhoran/gentoo-custom/master/files/libfreetype.so.6" - -LICENSE="Quartus-prime-megacore" -SLOT="0" - -# No keywords as per bug 575684 -KEYWORDS="" - -RDEPEND="media-libs/libpng-compat:1.2[abi_x86_32] - x11-libs/libXft[abi_x86_32] - app-arch/bzip2[abi_x86_32] - sys-libs/zlib[abi_x86_32] - sys-libs/glibc[multilib]" - -RESTRICT="fetch bindist" - -S=${WORKDIR} - -pkg_nofetch() { - elog "Due to licensing restrictions, and old libary versions." - elog "You need to download the distfiles manually." - elog "-------------------------------------------------------" - elog "For Quartus :" - elog "Please navigate to ${DOWNLOADPAGE}" - elog "Sign in with your Altera account (you need to register)" - elog "Download Quartus-lite-${PV}-linux.tar and place it into your DISTDIR directory" - elog "-------------------------------------------------------" - elog "For Freetype libary :" - elog "Please download the following file :" - elog "${DOWNLOADFT}" - elog "Please place this into your DISTDIR directory" - elog "-------------------------------------------------------" - elog "And restart the installation." -} - -pkg_pretend() { - einfo "The checksum and unpack will take quite some time to run" -} - -src_install() { - einfo "The Quartus install runs in unattended mode" - einfo "you wont see much and it will take a long time" - - ./setup.sh --mode unattended --unattendedmodeui none \ - --installdir "${D}/opt/quartus-lite-${PV}" || die - make_desktop_entry "/opt/quartus-lite-${PV}/quartus/bin/quartus" \ - "Quartus lite ${PV}" "/opt/quartus-lite-${PV}/quartus/adm/quartusii.png" - pushd "${D}" - # Patch qenv to find extra 32bit libs - epatch "${FILESDIR}/${P}-qenv-lib32.patch" - # fix vso launcher for 32bit libs and linux kernel versions - epatch "${FILESDIR}/${P}-vso-launcher.patch" - dodir "opt/quartus-lite-${PV}/modelsim_ase/lib32" - insinto "opt/quartus-lite-${PV}/modelsim_ase/lib32" - # Copy over the old freetype lib - doins "${PORTAGE_BUILDDIR}/distdir/libfreetype.so.6" -} - -pkg_postinst() { - elog "To launch Quartus, run /opt/quartus-lite-${PV}/quartus/bin/quartus" - ewarn "This ebuild bundles a vulnerable and old freetype library" - ewarn "Sadly this is needed for vsim. You have been warned" -} diff --git a/sci-electronics/vbs/Manifest b/sci-electronics/vbs/Manifest index fb41d7b654df..43589b466506 100644 --- a/sci-electronics/vbs/Manifest +++ b/sci-electronics/vbs/Manifest @@ -2,5 +2,5 @@ AUX vbs-1.4.0-const_cast.patch 295 BLAKE2B 446553c415a05df0d4fc94fd50145d47fd56a AUX vbs-1.4.0-gcc-4.1.patch 2606 BLAKE2B f5bf38ba6a943011847cedc9a6a5f1a36c12839f9e29648052066380e796a92585122fb88552d120bea1e6645b1adbffda2ae31f24a67244c622da1f0f1b6f60 SHA512 e6c59f855b88a2f2057c4409824ba6febd58473f1ddf7a9390b030dabbab53f8ce67d3c8e8b1f551a6cc219634649f542a740b7c5bafeaa7c46a92feef1e254b AUX vbs-1.4.0-gcc-4.3.patch 512 BLAKE2B d5b6a7123446db98cf3b31bc49b2e567e8c0a4804add662f87f68d84d15fd85031144cf536c33f6dcd628e3bd16eab137cee84efbe0d1f4eaf7db6948124cf3c SHA512 49e76e1cccbbe2171f0b821aa2c8a45666388331c5a8df378a255556bd534654e3c6135b77237ec734ab0a130c2db754166a367dd538860dd9ecf1ca8a057cfd DIST vbs-1.4.0.tar.gz 275974 BLAKE2B a2a3b9654edefb52e22be166650b17959a72e2b1b6c1bb25708b52c33b3f33476f10b542b553959ae2310a7adcb328d5fa129ac34c6c6b6e62ca0a8880b3ff68 SHA512 78320f650d8f9f558143d0c13fd47697a738f540fa5f6e8718e6e9fdef67f794189b18c214be68d19a9a745527b57abb54e94fadfc8e83289a95e1ae48e41384 -EBUILD vbs-1.4.0.ebuild 725 BLAKE2B 0e3437a8c76ce055991eaffe1007035c8fbd99d650d15519894849910ed0ce6a11fa515b14b2180ae57adce96f8807652ae8172f155665e42b3b7be748e29dcd SHA512 62c9859dee322bde3b76090d0070fbfe59ec9cb15c7c3ec058c5a75af8dcce49c6577f67b3d0d24c540a4497c70f105689e865dd3aed968fd910a0ebd200db59 +EBUILD vbs-1.4.0.ebuild 723 BLAKE2B d11a3554060f881f0813892e8bf013d5a1f1495badd695f3b389dba55eda3653ba5fb93021e278d3ceb103f6f4cacd53b2e33c695c6b63489944df303c4671c0 SHA512 62bf6510d60fd58de853ceaf20d53ae04bab8766f1b1db65eaf8ba449aea3b4ca019d60e4643b87b3344c915284a4fd5e0a2da51c691927675ab9014b9c13104 MISC metadata.xml 528 BLAKE2B 39f6531a355025c6428edc273194aff3947ad275499f50bf6563cc9650576511341856b874fd6008ce146a1c276fd489f2330d8d6c76beba38d1d2121707addf SHA512 208b4f63d4e14b22123203b2806da6a91215bc5fd899dfd0ecfabadc55bdd4ba9c391a0b138ee4083c84723b9e8a41694a4c1ddbf6a108032c1073464c8385d3 diff --git a/sci-electronics/vbs/vbs-1.4.0.ebuild b/sci-electronics/vbs/vbs-1.4.0.ebuild index 0c3b4dcf54c8..4e58d702ffea 100644 --- a/sci-electronics/vbs/vbs-1.4.0.ebuild +++ b/sci-electronics/vbs/vbs-1.4.0.ebuild @@ -1,4 +1,4 @@ -# Copyright 1999-2018 Gentoo Foundation +# Copyright 1999-2021 Gentoo Authors # Distributed under the terms of the GNU General Public License v2 EAPI=6 @@ -9,7 +9,7 @@ SRC_URI="http://www.geda.seul.org/dist/${P}.tar.gz" LICENSE="GPL-2" SLOT="0" -KEYWORDS="~amd64 ppc ~x86" +KEYWORDS="~amd64 ~ppc ~x86" IUSE="" DEPEND=" diff --git a/sci-electronics/xcircuit/Manifest b/sci-electronics/xcircuit/Manifest index 563a313bbb6f..ab35de49edc2 100644 --- a/sci-electronics/xcircuit/Manifest +++ b/sci-electronics/xcircuit/Manifest @@ -1,5 +1,5 @@ DIST xcircuit-3.10.30.tgz 1619256 BLAKE2B 5316a2dc7d9864eefbdbf1647920c322c85adfbe27289a7c4dfc719940921754a6e616af530b20b3ffa159004ce6808cceb6e4d9349dcbc110e2e580a574c7a1 SHA512 52bf65fa9b5c095092f60abe32b3c88180365cc8043a8e95652ea9ab20e01c3e790989fe41171ecd1b2c60684016f6963c4851105b17a1c8a3bac8d75db84a8e DIST xcircuit-3.9.73.tgz 1620922 BLAKE2B ac3f8e6f9370efd720b57a9cd7c487621534f477d1af4fd267d311da3bd949886eccd1c8e8e59071689637272ca23f985dd1809594aab692fa60ea2ca8f8a456 SHA512 2dfeb130a48c99bf08bdff94250f7157acd283bc3f3d6b41f963346deb1241aca0d59a3e8d60dbd36a4aa60acd89e131b263ddbf489b2b44e7e1831714d7b703 EBUILD xcircuit-3.10.30.ebuild 996 BLAKE2B fb3d4427f0b6905146822bfcd784dfce96650226372cfc8fa96592d03ed83f13e8db3115fc1125a82ab8ade4b9ce19fffeddd18e3a8c6edadb356bd5947ee191 SHA512 a60031667ce2bacca78a64df7c0d037d150f1ed7719118d61e38404ae14164ec3230d135406d7f196e6aff346dd658183568ca8383a09d8d79c12879b7babf1d -EBUILD xcircuit-3.9.73.ebuild 966 BLAKE2B a24b4d8a4063fc367663d34ef3db205acc1e46d07ee4a76107eeb1ad72ec1d37bfbbdd8277985668b68c6130ff6c0d4fa2ada34f191bd6c566de0771fc8a2ff3 SHA512 6c737f430ed33985bf941499d3272fe2c78039565bd9b1832e6e9df0c80b443f96aee25cdd54f332fb0fe5a6ded48becf85ee9ef3dac27c1af3bd54e51198bd3 +EBUILD xcircuit-3.9.73.ebuild 967 BLAKE2B f8470b919b16e5cba9d18ac15bb01afbcbfa0f10e87594d8fef6135d9b9e0981b66cdef427230e60c34e058145fa2772f0ecdc051a8ccc5ba260d7287d66062a SHA512 b0c40362789cc6b48fee476ae687764ce5de02b642fd223185882f130736835f39a988d4f3a84540b860673d59646757b04ddfef06b26b6947b1cfa698073cad MISC metadata.xml 1267 BLAKE2B cf55d1feb1fdd727333ebfae027a9387ab38684a55b4b04ba1377023e0502fac07fde51e705a1aee368cebab65ffee895c59f5a1caee6e6be8f885fbe4d973c0 SHA512 80b9e5d29ed3c861e439152667b0d703e3ae3e1c66f0ba5ee6fbf59a44c3c93908909f7a66b03133af309845425cee164e7572486b9dfabd4d70135a125dbb46 diff --git a/sci-electronics/xcircuit/xcircuit-3.9.73.ebuild b/sci-electronics/xcircuit/xcircuit-3.9.73.ebuild index 62140eb5a226..d44b7bc85edb 100644 --- a/sci-electronics/xcircuit/xcircuit-3.9.73.ebuild +++ b/sci-electronics/xcircuit/xcircuit-3.9.73.ebuild @@ -1,4 +1,4 @@ -# Copyright 1999-2020 Gentoo Authors +# Copyright 1999-2021 Gentoo Authors # Distributed under the terms of the GNU General Public License v2 EAPI=6 @@ -11,7 +11,7 @@ HOMEPAGE="http://opencircuitdesign.com/xcircuit" LICENSE="GPL-2" SLOT="0" -KEYWORDS="amd64 ppc x86" +KEYWORDS="amd64 ~ppc x86" IUSE="tcl" DEPEND=" diff --git a/sci-electronics/xnec2c/Manifest b/sci-electronics/xnec2c/Manifest index 39df1b1d5dd5..356f8ae9f239 100644 --- a/sci-electronics/xnec2c/Manifest +++ b/sci-electronics/xnec2c/Manifest @@ -1,3 +1,6 @@ +AUX xnec2c-template.patch 1151 BLAKE2B 15d7bfbd8eface8bd0956554a561fe4c2efe09f125d3355a29c9968b3fa0f79b8168f6a6790b68f842c513514467aa55da199e46cac17e67fa26d75b1f52b559 SHA512 8fc56c88ede75d5b12f226ad5dde39fd8c27566ffb53ef8f794ebc42f6d4c30c6201752a56a1941d1a01372937c89aba8913a987ea66601c5141c3f4ff7abce3 DIST xnec2c-4.1.1.tar.bz2 947969 BLAKE2B 81794dc557d5cc845043be97e07b628e69dcd26fddb3886d11bf31374eba0187491ad9787187f8f4a19cf04f644288c680d3b8778a7b6e144209951b25bbfbf1 SHA512 3a97521f568d79158d5d224958e4cb3708de8214476ba228f9ce0ac122899eb9b06f596d5b0c43a85a18dbd6d86e0751f1a70128c14dd8972f10deeb5a1db980 +DIST xnec2c-4.2.tar.bz2 939408 BLAKE2B 5fbecf436bfebb6979c12d7f76d708a21d784ee5f4f38c6010c5fd16ebe5324ea5469ea578c4ac7fabc26a82e7ea5d5bf6206be79482a9e454183c7b082a20a8 SHA512 bc0929e3cb01eb355c1eb8615087cc70c4585db75d0e6664237e8e268c5dc2159ec9852d917c9fe6b06a3fa780d7ae0b806c2e5cbf48a60622ee4558d9d82063 EBUILD xnec2c-4.1.1.ebuild 785 BLAKE2B 525e838b9b4b95226960ad3b63d4b0d3287e22d8bc95e0071afc0f3fb9b8fe049a4ee0b71ba42f75faab02521629df012825768d0f052c1de4aa97c2f5adc954 SHA512 e350dba8b64219dbd4ddbccd31c135b97bf2c62133d6d6a7dec1b4eeb06df2ab86851dd4aed32555b6a3ca53850f0b115c73d94df697449b6463c89e56c9b584 +EBUILD xnec2c-4.2.ebuild 844 BLAKE2B cdccb4f13a87d7b15167f9ed7947cf77ab0664cb4e26cd6baa24c3809c9a21b1a1c6b213c2fefd4b1785acddfdbf7b1ef7a7503dd6a92dc97df9c1c70f01a305 SHA512 ca610d1ea30afa58c2349622d19336aa6a520c3c97ea2c995359e917341a8d017d273ea4e030fa2b2176b21e628294670dcf4d6596ab9cda565bea8ec07d474d MISC metadata.xml 1635 BLAKE2B 97a6599a38ecf5914360b4e5376fcb6be56890a91e0274027c327d69fd41459a740a5ad4e2255e48c676bfabbc60a5fc3abd13fa7f9157ac3572e24fa11752a3 SHA512 7564946c202c11ab3be96071962e07870fe97433fae5a9998dbf0cc62de0c83885fadb71741a824aa45e643641baa77bb887ea70687fa15559119fd22d83eed3 diff --git a/sci-electronics/xnec2c/files/xnec2c-template.patch b/sci-electronics/xnec2c/files/xnec2c-template.patch new file mode 100644 index 000000000000..fa09bbdb9830 --- /dev/null +++ b/sci-electronics/xnec2c/files/xnec2c-template.patch @@ -0,0 +1,28 @@ +# Install template files in /usr/share/xnec2c +diff --git a/Makefile.am b/Makefile.am +index 9e8873a..6f6203e 100644 +--- a/Makefile.am ++++ b/Makefile.am +@@ -62,7 +62,7 @@ install-data-local: + $(install_sh_DATA) doc/$(PACKAGE).1.gz $(DESTDIR)$(mandir)/man1/; \ + fi; + if test -d .$(PACKAGE)/; then \ +- $(MKDIR_P) $(DESTDIR)$(datarootdir)/examples/$(PACKAGE)/;\ +- cp -r .$(PACKAGE)/* $(DESTDIR)$(datarootdir)/examples/$(PACKAGE)/; \ ++ $(MKDIR_P) $(DESTDIR)$(datarootdir)/$(PACKAGE)/;\ ++ cp -r .$(PACKAGE)/* $(DESTDIR)$(datarootdir)/$(PACKAGE)/; \ + fi; + +diff --git a/src/main.c b/src/main.c +index 4cb541e..6883ceb 100644 +--- a/src/main.c ++++ b/src/main.c +@@ -88,7 +88,7 @@ main (int argc, char *argv[]) + /* Create file path to xnec2c examples directory */ + exe_path[bytes] = '\0'; + Strlcpy( file_path, exe_path, sizeof(file_path) ); +- Strlcat( file_path, "/share/examples/xnec2c", sizeof(file_path) ); ++ Strlcat( file_path, "/share/xnec2c", sizeof(file_path) ); + fprintf( stderr, "xnec2c: creating xnec2c config directory from: %s\n", file_path ); + + /* Create system command to copy examples/xnec2c to ~/.xnec2c */ diff --git a/sci-electronics/xnec2c/xnec2c-4.2.ebuild b/sci-electronics/xnec2c/xnec2c-4.2.ebuild new file mode 100644 index 000000000000..bdc030a2fa5c --- /dev/null +++ b/sci-electronics/xnec2c/xnec2c-4.2.ebuild @@ -0,0 +1,46 @@ +# Copyright 1999-2021 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=8 + +inherit autotools xdg-utils + +DESCRIPTION="A GTK+ graphical interactive version of nec2c" +HOMEPAGE="https://www.qsl.net/5b4az/pages/nec2.html" +SRC_URI="https://www.qsl.net/5b4az/pkg/nec2/xnec2c/${P}.tar.bz2" + +LICENSE="GPL-2" +SLOT="0" +KEYWORDS="~amd64 ~x86" +IUSE="doc examples" + +RDEPEND="dev-libs/glib:2 + x11-libs/gtk+:3" +DEPEND="${RDEPEND} + sys-devel/gettext" + +src_prepare() { + eapply_user + eapply "${FILESDIR}/${PN}-template.patch" + eautoreconf +} + +src_install() { + default + + docompress -x /usr/share/man + dodoc AUTHORS README doc/*.txt + use doc && dodoc -r doc/*.html doc/images + if use examples ; then + docinto examples + dodoc examples/* + fi +} + +pkg_postinst() { + xdg_icon_cache_update +} + +pkg_postrm() { + xdg_icon_cache_update +} -- cgit v1.2.3