From 4f2d7949f03e1c198bc888f2d05f421d35c57e21 Mon Sep 17 00:00:00 2001 From: V3n3RiX Date: Mon, 9 Oct 2017 18:53:29 +0100 Subject: reinit the tree, so we can have metadata --- sci-electronics/qucs/Manifest | 8 + sci-electronics/qucs/files/qucs-0.0.18-gcc6.patch | 189 ++++++++++++++++++++++ sci-electronics/qucs/metadata.xml | 15 ++ sci-electronics/qucs/qucs-0.0.17.130503.ebuild | 32 ++++ sci-electronics/qucs/qucs-0.0.18.ebuild | 68 ++++++++ 5 files changed, 312 insertions(+) create mode 100644 sci-electronics/qucs/Manifest create mode 100644 sci-electronics/qucs/files/qucs-0.0.18-gcc6.patch create mode 100644 sci-electronics/qucs/metadata.xml create mode 100644 sci-electronics/qucs/qucs-0.0.17.130503.ebuild create mode 100644 sci-electronics/qucs/qucs-0.0.18.ebuild (limited to 'sci-electronics/qucs') diff --git a/sci-electronics/qucs/Manifest b/sci-electronics/qucs/Manifest new file mode 100644 index 000000000000..e197da177a5a --- /dev/null +++ b/sci-electronics/qucs/Manifest @@ -0,0 +1,8 @@ +AUX qucs-0.0.18-gcc6.patch 7573 SHA256 602ae85060aaa9af11bb0f817a30fdee861862ad9d7416dda2d54420e94a4833 SHA512 3f90d0411a088364f1943d9a6603bb4b68a79b7ad927276f711ab9be8934c79692832c17ebd75bc79276cc8bec3f4d9ebec831356cfc53c6f6214089814d4177 WHIRLPOOL ee252ccd70194d82dda9c16a05264a901aeef0535deb44a7f00da38bd419935a5e5da65189995b92f8198312c6e31097f84bc09dd1b922899089d2dbed644865 +DIST qucs-0.0.17.130503.tar.gz 10380925 SHA256 28dff7da734d9910b362a0f3e4594aefb9986bde3f37e15aff2b9068e41ad774 SHA512 93ed20b34a5fa0c8dac7711d6797d373e5da90e727822b96c268f8fb284928bbde397274db2c638a5190d5916ca2bf55e849de95f327e0c55b3877b8897bb765 WHIRLPOOL ea590687cb44318473179c63704305720c0c5f3cc4c9816d798617ef745e5ee1dae3b4494e351bcdcc924eba25601c97e7cdfe8a2704c5c5b353dee6ac87a38c +DIST qucs-0.0.18.tar.gz 45270816 SHA256 3609a18b57485dc9f19886ac6694667f3251702175bd1cbbbea37981b2c482a7 SHA512 b6836837fde44fe04e6a0533905c7bbfdbb6f64412fbc2516cab281de2ec51738cf62bb245763a0be6711e02392f8d73e87b86bff6eb432fe3bbf47636c98313 WHIRLPOOL e2c7f86a17838187434f0ff0221f1e585befc4828bc38a2c706001d1ffd53150a09450a15a10c37ba46cff0c1891fb1c475277f7ff9a84e0825eba582090e2d2 +EBUILD qucs-0.0.17.130503.ebuild 760 SHA256 1d31b8e2fbd2d1598e76825f6dd3cb19813fbbd621bda636ec4525fc5fc4927e SHA512 57f135d2329f5e9f70ba29eac14f5233419c410c5c3d1ed09755ba6ee8af346bef41198abc210075514c9c980dfb87cbb4a04f0b768ea3a9569d77ee7f82b63c WHIRLPOOL 6fc1315a35ecad450cf18a41bce7774a059924d08307c60c188666e41683ca4b0a1ff09f2d33b07c92624d7192f22147d0b251ffe1c082ad7e3118261a4a2345 +EBUILD qucs-0.0.18.ebuild 1671 SHA256 8333a85d3658dcc4e7517e77998d48595e5575a47ac1eb82b1ef3172d16fa44b SHA512 33853ed82dbbc8792e7b20198cbdd1e2284cb9dea4397a35128a3453295664460385e746dfb0390bb1f6586803593075f4b49cf436f11edde027c1aacf32c9c2 WHIRLPOOL 4dd06124e15030903f9b555e7e1d5092443136d45eaf8e46f3c975bb6a6263c75cd820d4e0b1864e1bf95c7382fbf755cea29a5f6d041c3d5afc8594b6717936 +MISC ChangeLog 3210 SHA256 cbb7f4fde0ca57529a849500cade870fb9cd189a6a68e017c0f170663f76e79f SHA512 2efbf05b1c6a433907664a9233251d262b70c326407491ee4c6025902a4e2cece7417b3abfb16d708cd7ab61a79120b8878aac28de2b35fb915b98b90d2bf019 WHIRLPOOL a696dd9615f2782b3d5eb1fc9848d8cdee1cf9bc52b949ab1753e7347730d987188e32adf72f36080f9982528980f37bd6bdf3c3c7e4ffbaf3c40d8539831189 +MISC ChangeLog-2015 633 SHA256 be3cb603d83848e33874072bffb5fc6da5170b4e5c80b2c651b30f851b7c4a97 SHA512 53a685ffedac16e4f8dec2e1c459f10069491fe25adfc14a870b99ea373ec17d61288a917ee515cda7127f8c55508bb92769a0e764b1b6f187076a03611599d6 WHIRLPOOL f8ca0dfcf512c78b82c44096a176ba8cf0649547467b25fb5e812a49ea94c58360a817dc7990d4cdd730c0256727ca9ddfe1995115206a159a1a3839f65e41a7 +MISC metadata.xml 451 SHA256 627eb1342c719a7e708390fbf6a6ee15c63db7df140acfac8147a38ffb8748e8 SHA512 78882203fde2a16ac25041c35d1376066075da6db47dc7dc26b49693c335e2e98fc164534b65de8d366cad5faa02039b697bcddb59c0c61e33041ca71427c150 WHIRLPOOL 9aab457f487ea79d00aa11c7c9e9bd696360e2bdcf8f8e0945f98f53b937aa8773c61acd6511319b6fd98662295eb838849ec69115bea0dca5d312d4c90ee14c diff --git a/sci-electronics/qucs/files/qucs-0.0.18-gcc6.patch b/sci-electronics/qucs/files/qucs-0.0.18-gcc6.patch new file mode 100644 index 000000000000..bf1f7edbfc05 --- /dev/null +++ b/sci-electronics/qucs/files/qucs-0.0.18-gcc6.patch @@ -0,0 +1,189 @@ +Bug: https://bugs.gentoo.org/show_bug.cgi?id=603902 + +--- a/qucs-core/src/components/verilog/bsim3v34nMOS.core.cpp ++++ b/qucs-core/src/components/verilog/bsim3v34nMOS.core.cpp +@@ -8432,9 +8432,9 @@ + fourkt=(5.5226012e-23*Temp); + leffx2=(leff*leff); + _save_flickernoise2(drainp,sourcep,((KF*pow(cdrain,AF))/(cox*leffx2)),EF,"flicker"); +-_save_whitenoise2(drainp,sourcep,(((fourkt*ueff)*abs(qinv))/leffx2),"channel"); +-_save_whitenoise2(drain,drainp,abs((fourkt*drainConductance)),"thermal"); +-_save_whitenoise2(sourcep,source,abs((fourkt*sourceConductance)),"thermal"); ++_save_whitenoise2(drainp,sourcep,(((fourkt*ueff)*std::abs(qinv))/leffx2),"channel"); ++_save_whitenoise2(drain,drainp,std::abs((fourkt*drainConductance)),"thermal"); ++_save_whitenoise2(sourcep,source,std::abs((fourkt*sourceConductance)),"thermal"); + } + + /* ------------------ end of verilog analog equations --------------------- */ +--- a/qucs-core/src/components/verilog/bsim3v34pMOS.core.cpp ++++ b/qucs-core/src/components/verilog/bsim3v34pMOS.core.cpp +@@ -8432,9 +8432,9 @@ + fourkt=(5.5226012e-23*Temp); + leffx2=(leff*leff); + _save_flickernoise2(drainp,sourcep,((KF*pow(cdrain,AF))/(cox*leffx2)),EF,"flicker"); +-_save_whitenoise2(drainp,sourcep,(((fourkt*ueff)*abs(qinv))/leffx2),"channel"); +-_save_whitenoise2(drain,drainp,abs((fourkt*drainConductance)),"thermal"); +-_save_whitenoise2(sourcep,source,abs((fourkt*sourceConductance)),"thermal"); ++_save_whitenoise2(drainp,sourcep,(((fourkt*ueff)*std::abs(qinv))/leffx2),"channel"); ++_save_whitenoise2(drain,drainp,std::abs((fourkt*drainConductance)),"thermal"); ++_save_whitenoise2(sourcep,source,std::abs((fourkt*sourceConductance)),"thermal"); + } + + /* ------------------ end of verilog analog equations --------------------- */ +--- a/qucs-core/src/components/verilog/bsim4v30nMOS.core.cpp ++++ b/qucs-core/src/components/verilog/bsim4v30nMOS.core.cpp +@@ -13629,16 +13629,16 @@ + { + fourkt=(5.5226012e-23*Temp); + leffx2=(leff*leff); +-_save_whitenoise2(drainp,sourcep,((((fourkt*NTNOI)*ueff)*abs((qd+qs)))/leffx2),"channel"); ++_save_whitenoise2(drainp,sourcep,((((fourkt*NTNOI)*ueff)*std::abs((qd+qs)))/leffx2),"channel"); + } + if + (FNOIMOD==0) + { + leffx2=(leff*leff); +-_save_flickernoise2(drainp,sourcep,((KF*pow(abs(cdrain),AF))/(cox*leffx2)),EF,"flicker"); ++_save_flickernoise2(drainp,sourcep,((KF*pow(std::abs(cdrain),AF))/(cox*leffx2)),EF,"flicker"); + } +-_save_whitenoise2(drain,drainp,abs((fourkt*gdtot)),"thermal"); +-_save_whitenoise2(sourcep,source,abs((fourkt*gstot)),"thermal"); ++_save_whitenoise2(drain,drainp,std::abs((fourkt*gdtot)),"thermal"); ++_save_whitenoise2(sourcep,source,std::abs((fourkt*gstot)),"thermal"); + + /* ------------------ end of verilog analog equations --------------------- */ + +--- a/qucs-core/src/components/verilog/bsim4v30pMOS.core.cpp ++++ b/qucs-core/src/components/verilog/bsim4v30pMOS.core.cpp +@@ -13629,16 +13629,16 @@ + { + fourkt=(5.5226012e-23*Temp); + leffx2=(leff*leff); +-_save_whitenoise2(drainp,sourcep,((((fourkt*NTNOI)*ueff)*abs((qd+qs)))/leffx2),"channel"); ++_save_whitenoise2(drainp,sourcep,((((fourkt*NTNOI)*ueff)*std::abs((qd+qs)))/leffx2),"channel"); + } + if + (FNOIMOD==0) + { + leffx2=(leff*leff); +-_save_flickernoise2(drainp,sourcep,((KF*pow(abs(cdrain),AF))/(cox*leffx2)),EF,"flicker"); ++_save_flickernoise2(drainp,sourcep,((KF*pow(std::abs(cdrain),AF))/(cox*leffx2)),EF,"flicker"); + } +-_save_whitenoise2(drain,drainp,abs((fourkt*gdtot)),"thermal"); +-_save_whitenoise2(sourcep,source,abs((fourkt*gstot)),"thermal"); ++_save_whitenoise2(drain,drainp,std::abs((fourkt*gdtot)),"thermal"); ++_save_whitenoise2(sourcep,source,std::abs((fourkt*gstot)),"thermal"); + + /* ------------------ end of verilog analog equations --------------------- */ + +--- a/qucs-core/src/components/verilog/hic2_full.core.cpp ++++ b/qucs-core/src/components/verilog/hic2_full.core.cpp +@@ -9642,7 +9642,7 @@ + #endif + d_Q=Q_pT; + while +-(((abs(d_Q)>=(1.0e-5*abs(Q_pT)))&&(l_it<=100))) ++(((std::abs(d_Q)>=(1.0e-5*std::abs(Q_pT)))&&(l_it<=100))) + { + #if defined(_DYNAMIC) + d_Q0=d_Q; +@@ -19895,10 +19895,10 @@ + _save_whitenoise2(ci,ei,(twoq*it),"shot"); + _save_whitenoise2(ci,bi,(twoq*iavl),"shot"); + _save_whitenoise2(bi,ei,(twoq*ibei),"shot"); +-_save_whitenoise2(bi,ci,(twoq*abs(ibci)),"shot"); ++_save_whitenoise2(bi,ci,(twoq*std::abs(ibci)),"shot"); + _save_whitenoise2(bp,ei,(twoq*ibep),"shot"); +-_save_whitenoise2(bp,ci,(twoq*abs(ijbcx)),"shot"); +-_save_whitenoise2(si,ci,(twoq*abs(ijsc)),"shot"); ++_save_whitenoise2(bp,ci,(twoq*std::abs(ijbcx)),"shot"); ++_save_whitenoise2(si,ci,(twoq*std::abs(ijsc)),"shot"); + } + + /* ------------------ end of verilog analog equations --------------------- */ +--- a/qucs-core/src/components/verilog/hicumL2V2p1.core.cpp ++++ b/qucs-core/src/components/verilog/hicumL2V2p1.core.cpp +@@ -4895,7 +4895,7 @@ + #endif + d_Q=Q_pT; + while +-(((abs(d_Q)>=(1.0e-5*abs(Q_pT)))&&(l_it<=100))) ++(((std::abs(d_Q)>=(1.0e-5*std::abs(Q_pT)))&&(l_it<=100))) + { + #if defined(_DYNAMIC) + d_Q0=d_Q; +--- a/qucs-core/src/components/verilog/hicumL2V2p23.core.cpp ++++ b/qucs-core/src/components/verilog/hicumL2V2p23.core.cpp +@@ -11502,7 +11502,7 @@ + #endif + d_Q=Q_pT; + while +-(((abs(d_Q)>=(1.0e-5*abs(Q_pT)))&&(l_it<=100))) ++(((std::abs(d_Q)>=(1.0e-5*std::abs(Q_pT)))&&(l_it<=100))) + { + #if defined(_DYNAMIC) + d_Q0=d_Q; +@@ -23949,10 +23949,10 @@ + } + twoq=(2.0*1.602176462e-19); + _save_whitenoise2(ci,bi,(twoq*iavl),"shot"); +-_save_whitenoise2(bi,ci,(twoq*abs(ibci)),"shot"); ++_save_whitenoise2(bi,ci,(twoq*std::abs(ibci)),"shot"); + _save_whitenoise2(bp,ei,(twoq*ibep),"shot"); +-_save_whitenoise2(bp,ci,(twoq*abs(ijbcx)),"shot"); +-_save_whitenoise2(si,ci,(twoq*abs(ijsc)),"shot"); ++_save_whitenoise2(bp,ci,(twoq*std::abs(ijbcx)),"shot"); ++_save_whitenoise2(si,ci,(twoq*std::abs(ijsc)),"shot"); + _save_whitenoise1(n1,((2*1.602176462e-19)*ibei),"shot"); + _load_static_residual1(n1,NP(n1)); + #if defined(_DERIVATE) +--- a/qucs-core/src/components/verilog/hicumL2V2p24.core.cpp ++++ b/qucs-core/src/components/verilog/hicumL2V2p24.core.cpp +@@ -6090,7 +6090,7 @@ + #endif + d_Q=Q_pT; + while +-(((abs(d_Q)>=(1.0e-5*abs(Q_pT)))&&(l_it<=100))) ++(((std::abs(d_Q)>=(1.0e-5*std::abs(Q_pT)))&&(l_it<=100))) + { + #if defined(_DYNAMIC) + d_Q0=d_Q; +@@ -11855,10 +11855,10 @@ + } + twoq=(2.0*1.602176462e-19); + _save_whitenoise2(ci,bi,(twoq*iavl),"shot"); +-_save_whitenoise2(bi,ci,(twoq*abs(ibci)),"shot"); ++_save_whitenoise2(bi,ci,(twoq*std::abs(ibci)),"shot"); + _save_whitenoise2(bp,ei,(twoq*ibep),"shot"); +-_save_whitenoise2(bp,ci,(twoq*abs(ijbcx)),"shot"); +-_save_whitenoise2(si,ci,(twoq*abs(ijsc)),"shot"); ++_save_whitenoise2(bp,ci,(twoq*std::abs(ijbcx)),"shot"); ++_save_whitenoise2(si,ci,(twoq*std::abs(ijsc)),"shot"); + _save_whitenoise1(n1,((2*1.602176462e-19)*ibei),"shot"); + _load_static_residual1(n1,NP(n1)); + #if defined(_DERIVATE) +--- a/qucs-core/src/components/verilog/hicumL2V2p31n.core.cpp ++++ b/qucs-core/src/components/verilog/hicumL2V2p31n.core.cpp +@@ -6726,7 +6726,7 @@ + #endif + d_Q=Q_pT; + while +-(((abs(d_Q)>=(1.0e-5*abs(Q_pT)))&&(l_it<=100))) ++(((std::abs(d_Q)>=(1.0e-5*std::abs(Q_pT)))&&(l_it<=100))) + { + #if defined(_DYNAMIC) + d_Q0=d_Q; +@@ -12817,10 +12817,10 @@ + } + twoq=(2.0*1.602176462e-19); + _save_whitenoise2(ci,bi,(twoq*iavl),"shot"); +-_save_whitenoise2(bi,ci,(twoq*abs(ibci)),"shot"); ++_save_whitenoise2(bi,ci,(twoq*std::abs(ibci)),"shot"); + _save_whitenoise2(bp,ei,(twoq*ibep),"shot"); +-_save_whitenoise2(bp,ci,(twoq*abs(ijbcx)),"shot"); +-_save_whitenoise2(si,ci,(twoq*abs(ijsc)),"shot"); ++_save_whitenoise2(bp,ci,(twoq*std::abs(ijbcx)),"shot"); ++_save_whitenoise2(si,ci,(twoq*std::abs(ijsc)),"shot"); + if + (flcono==1) + { diff --git a/sci-electronics/qucs/metadata.xml b/sci-electronics/qucs/metadata.xml new file mode 100644 index 000000000000..f233ea25af13 --- /dev/null +++ b/sci-electronics/qucs/metadata.xml @@ -0,0 +1,15 @@ + + + + + mgorny@gentoo.org + Michał Górny + + + sci-electronics@gentoo.org + Gentoo Electronics Project + + + qucs + + diff --git a/sci-electronics/qucs/qucs-0.0.17.130503.ebuild b/sci-electronics/qucs/qucs-0.0.17.130503.ebuild new file mode 100644 index 000000000000..8fd39af2ab6a --- /dev/null +++ b/sci-electronics/qucs/qucs-0.0.17.130503.ebuild @@ -0,0 +1,32 @@ +# Copyright 1999-2013 Gentoo Foundation +# Distributed under the terms of the GNU General Public License v2 + +EAPI=5 + +inherit autotools-utils flag-o-matic toolchain-funcs + +DESCRIPTION="Quite Universal Circuit Simulator in Qt4" +HOMEPAGE="http://qucs.sourceforge.net/" +SRC_URI="mirror://sourceforge/${PN}/${P}.tar.gz" + +LICENSE="GPL-2" +SLOT="0" +KEYWORDS="~amd64 ~x86" +IUSE="" + +RDEPEND="dev-qt/qtcore:4[qt3support] + dev-qt/qtgui:4[qt3support] + dev-qt/qt3support:4 + x11-libs/libX11" +DEPEND="${RDEPEND}" + +AUTOTOOLS_IN_SOURCE_BUILD=1 + +src_configure() { + # the package doesn't use pkg-config on Linux, only on Darwin + # very smart of upstream... + append-ldflags $( $(tc-getPKG_CONFIG) --libs-only-L \ + QtCore QtGui QtXml Qt3Support ) + + autotools-utils_src_configure +} diff --git a/sci-electronics/qucs/qucs-0.0.18.ebuild b/sci-electronics/qucs/qucs-0.0.18.ebuild new file mode 100644 index 000000000000..6e2f31e81f3f --- /dev/null +++ b/sci-electronics/qucs/qucs-0.0.18.ebuild @@ -0,0 +1,68 @@ +# Copyright 1999-2017 Gentoo Foundation +# Distributed under the terms of the GNU General Public License v2 + +EAPI=6 + +inherit flag-o-matic toolchain-funcs + +DESCRIPTION="Quite Universal Circuit Simulator in Qt4" +HOMEPAGE="http://qucs.sourceforge.net/" +SRC_URI="mirror://sourceforge/${PN}/${P}.tar.gz" + +LICENSE="GPL-2" +SLOT="0" +KEYWORDS="~amd64 ~x86" +IUSE="debug" + +RDEPEND="dev-qt/qtcore:4[qt3support] + dev-qt/qtgui:4[qt3support] + dev-qt/qtscript:4 + dev-qt/qtsvg:4 + dev-qt/qt3support:4 + x11-libs/libX11:0=" +DEPEND="${RDEPEND}" + +PATCHES=( "${FILESDIR}"/${P}-gcc6.patch ) + +src_prepare() { + default + + # oh my, they strip -g out of C*FLAGS and force -s into LDFLAGS + # note: edit .ac first, then generated files, so that the latter + # have newer timestamp and not trigger regen + sed -i \ + -e 's/C.*FLAGS.*sed.*-g.*$/:/' \ + -e 's/C.*FLAGS.*-O0.*$/:/' \ + -e 's/LDFLAGS.*-s.*$/:/' \ + configure.ac asco/configure.ac qucs-core/configure.ac \ + configure asco/configure qucs-core/configure \ + || die "C*FLAGS and LDFLAGS sanitization sed failed" +} + +src_configure() { + local myconf=( + # enables asserts and debug codepaths + $(use_enable debug) + + # avoid automagic dep + # TODO: add support for it + --disable-mpi + ) + + # automagic default on clang++ + tc-export CXX + + # the package doesn't use pkg-config on Linux, only on Darwin + # very smart of upstream... + append-ldflags $( $(tc-getPKG_CONFIG) --libs-only-L \ + QtCore QtGui QtScript QtSvg QtXml Qt3Support ) + + econf "${myconf[@]}" +} + +pkg_postinst() { + if ! has_version 'sci-electronics/freehdl'; then + elog "If you would like to be able to run digital simulations, please install:" + elog " sci-electronics/freehdl" + fi +} -- cgit v1.2.3