From a3bb28551df94028000fb72308a9e9baa01458b0 Mon Sep 17 00:00:00 2001 From: V3n3RiX Date: Mon, 3 Jun 2024 00:07:26 +0100 Subject: gentoo auto-resync : 03:06:2024 - 00:07:25 --- net-wireless/Manifest.gz | Bin 13912 -> 13912 bytes net-wireless/gnuradio/Manifest | 6 +- net-wireless/gnuradio/gnuradio-3.10.9.2-r2.ebuild | 244 -------------------- net-wireless/gnuradio/gnuradio-3.10.9.2-r3.ebuild | 254 --------------------- net-wireless/gnuradio/gnuradio-3.10.9.2-r4.ebuild | 244 ++++++++++++++++++++ net-wireless/gnuradio/gnuradio-3.10.9.2-r5.ebuild | 254 +++++++++++++++++++++ net-wireless/gnuradio/gnuradio-9999.ebuild | 4 +- net-wireless/soapysdr/Manifest | 5 +- .../soapysdr-0.8.1-python3.12-distutils.patch | 74 ++++++ net-wireless/soapysdr/soapysdr-0.8.1-r1.ebuild | 60 +++++ net-wireless/soapysdr/soapysdr-0.8.1.ebuild | 60 ----- net-wireless/soapysdr/soapysdr-9999.ebuild | 45 ++-- 12 files changed, 659 insertions(+), 591 deletions(-) delete mode 100644 net-wireless/gnuradio/gnuradio-3.10.9.2-r2.ebuild delete mode 100644 net-wireless/gnuradio/gnuradio-3.10.9.2-r3.ebuild create mode 100644 net-wireless/gnuradio/gnuradio-3.10.9.2-r4.ebuild create mode 100644 net-wireless/gnuradio/gnuradio-3.10.9.2-r5.ebuild create mode 100644 net-wireless/soapysdr/files/soapysdr-0.8.1-python3.12-distutils.patch create mode 100644 net-wireless/soapysdr/soapysdr-0.8.1-r1.ebuild delete mode 100644 net-wireless/soapysdr/soapysdr-0.8.1.ebuild (limited to 'net-wireless') diff --git a/net-wireless/Manifest.gz b/net-wireless/Manifest.gz index 3ac064d4b3a7..d50d9cb9193e 100644 Binary files a/net-wireless/Manifest.gz and b/net-wireless/Manifest.gz differ diff --git a/net-wireless/gnuradio/Manifest b/net-wireless/gnuradio/Manifest index 88c3383b5bbc..784627e80abb 100644 --- a/net-wireless/gnuradio/Manifest +++ b/net-wireless/gnuradio/Manifest @@ -1,6 +1,6 @@ AUX PR7093.patch 3317 BLAKE2B d369dfa91c8de3c62d1c14be52f5ad9491bf10f8fb904295bb92497a43150bcf9d1576442f3087b439e54fb4dac572d421e3cac95d71d01604cf329284e7ff5a SHA512 01758de8ecb721fcaadd31d478551420290b5e59c9b8fa3d421d4170665915c21e50ed765a9647b81d4f6acf71099c98628b823a66897b4f5e57df3c3b2643ba DIST gnuradio-3.10.9.2.tar.gz 4413832 BLAKE2B 69443e9b6893c6cb9db1678c28ac0fd01fe57e81438903612f7f65908fede257dab06a98fa90da25fe8caa6befb731ba51759509ace10a5541cae3e9d055a5db SHA512 5eca8dd21def7354ab8bd3b98a5780f80bc71b0dd8f556a50def157964e121c7ba6a8a8dc96584f6b15a6b2d7e0391fe77f5c72ba31c1c5b49a76306dd6f78cd -EBUILD gnuradio-3.10.9.2-r2.ebuild 6757 BLAKE2B 5c22e40e9cc0ff6c120636a557cb1701ddb59546f746fb429e8a027a60946b650b9163e685e839ed6671c664f2f8d7ff7234d76025a48b729d7110bf868c3484 SHA512 030735ff375c8e1967f3a0e13af85bab26a05fda5d32b78817fa10679e2090cb22f98f592e98e8e29488609b105e8574324d8dab9513dc785b492b297196089f -EBUILD gnuradio-3.10.9.2-r3.ebuild 7160 BLAKE2B cccbbf235ab832c41d0b961d0da914f5f90da6adf4802db408a9aeebe3284c885997651c0c49aa13bfd7226168c0488efbdfee80ee33f5d3dfddb7c8827cc4e0 SHA512 91daa03c50893b916ea525b7ec2ae0504b0a3acc7457aa6dfe796c1a93312f96a4a6d398c8d4dd7f0dd852ec07ec0e44a388b6b117fdecca9e5c4b75bb32faae -EBUILD gnuradio-9999.ebuild 6718 BLAKE2B 7a14301346e1793d47eb7a47810841de038053263c1ec0f0a1fa7d64540708cdf98d27fde46c12c8352e57c6dab75e367ab306d3ec2088ea372fc51dacb4b111 SHA512 6e9c48c7c730a2274c45a4b9b7331a57041e19d40711af7f37095c09535f6b18e003a1ae91aafff0e76084a6692101a5dbc86ac0782ee70382cdb41350d6f761 +EBUILD gnuradio-3.10.9.2-r4.ebuild 6714 BLAKE2B 7967f69ea02aeb7cffc3caa6747f0161819c089db77e2bf72233d011a04436d7d9b5bfea4259f7f6e7b34263b67ac6ff0df8d7358fc086da72b4de40ce31d040 SHA512 d758532032da8b77fa08af1bfe5f29d6478eb75b4c56827a040ed5c5412f72e3ffbcf748108e6b52547c7ae13baebc4246902082932a191d32f1977e8daa049a +EBUILD gnuradio-3.10.9.2-r5.ebuild 7117 BLAKE2B ef8fe80066e1a9f9097a63a72668ba5820f42980c1df2859892796333f686a100eed0a0a0e5244b2eec62518521af4a34677a0c70fa2b81c935eaf6476ba2039 SHA512 0a116f6d060a93ff134715ddf14e88935f823adb5dc8b32f1971a511e6618b962859b02ef78a1dfdf8b0fb3abae3139081fa66307beb7fce2d66a8224e30a060 +EBUILD gnuradio-9999.ebuild 6675 BLAKE2B fad563acf07851d285129195c48c5846aa94b348a63531cc087985e63d18820b53b94b87e7327e8360a1f44091f381b5ea476b9fb42ae71346d9747b74b5e619 SHA512 c7a611592ebcf67a4330112b6b991ba81f223c07851d00232d6ce0b3a6c28407b1b0e4dd58da78a9574cd83a3f39f317781d6ecf104ca1b9a77b6d46a29703e5 MISC metadata.xml 1869 BLAKE2B de75463e64f4f3352d8959d795de15d9345e52f491f61fc824db738bccc4e2ae0487d988c22c17149f80cda5478a5bfbda03a6c5239970423371a2a76f374003 SHA512 69477d22de9e60b7f7420b14437265771391320d7c362d51b81fb573671568cf6b6b39ba50be1ad7c8bb07ed219998cf474e19b663f4a65b0e2cc69feef017a0 diff --git a/net-wireless/gnuradio/gnuradio-3.10.9.2-r2.ebuild b/net-wireless/gnuradio/gnuradio-3.10.9.2-r2.ebuild deleted file mode 100644 index e18205314679..000000000000 --- a/net-wireless/gnuradio/gnuradio-3.10.9.2-r2.ebuild +++ /dev/null @@ -1,244 +0,0 @@ -# Copyright 1999-2024 Gentoo Authors -# Distributed under the terms of the GNU General Public License v2 - -EAPI=8 -PYTHON_COMPAT=( python3_{9..11} ) - -CMAKE_BUILD_TYPE="None" -inherit cmake desktop python-single-r1 virtualx xdg-utils - -DESCRIPTION="Toolkit that provides signal processing blocks to implement software radios" -HOMEPAGE="https://www.gnuradio.org/" -LICENSE="GPL-3" -SLOT="0/${PV}" - -if [[ ${PV} =~ "9999" ]]; then - EGIT_REPO_URI="https://github.com/gnuradio/gnuradio.git" - EGIT_BRANCH="maint-3.10" - inherit git-r3 -else - SRC_URI="https://github.com/gnuradio/gnuradio/archive/refs/tags/v${PV}.tar.gz -> ${P}.tar.gz" - KEYWORDS="amd64 ~arm ~riscv ~x86" -fi - -IUSE="+audio +alsa +analog +digital channels ctrlport doc dtv examples fec +filter grc iio jack modtool network oss performance-counters portaudio +qt5 sdl soapy test trellis uhd vocoder +utils wavelet zeromq" - -RESTRICT="!test? ( test )" - -REQUIRED_USE="${PYTHON_REQUIRED_USE} - audio? ( || ( alsa oss jack portaudio ) ) - alsa? ( audio ) - jack? ( audio ) - oss? ( audio ) - portaudio? ( audio ) - analog? ( filter ) - channels? ( filter analog qt5 ) - digital? ( filter analog ) - dtv? ( filter analog fec ) - modtool? ( utils ) - qt5? ( filter ) - trellis? ( analog digital ) - uhd? ( filter analog ) - vocoder? ( filter analog ) - wavelet? ( analog ) -" - -RDEPEND="${PYTHON_DEPS} - $(python_gen_cond_dep 'dev-libs/boost:=[python,${PYTHON_USEDEP}]') - dev-libs/gmp:= - dev-libs/log4cpp:= - $(python_gen_cond_dep 'dev-python/jsonschema[${PYTHON_USEDEP}]') - dev-libs/spdlog:= - dev-libs/libfmt:= - sci-libs/fftw:3.0= - sci-libs/volk:= - media-libs/libsndfile - sys-libs/libunwind - alsa? ( media-libs/alsa-lib:= ) - ctrlport? ( - $(python_gen_cond_dep 'dev-python/thrift[${PYTHON_USEDEP}]') - ) - fec? ( - sci-libs/gsl:= - dev-python/scipy - ) - filter? ( - dev-python/scipy - $(python_gen_cond_dep 'dev-python/pyqtgraph[${PYTHON_USEDEP}]') - ) - grc? ( - $(python_gen_cond_dep 'dev-python/mako[${PYTHON_USEDEP}] - dev-python/numpy[${PYTHON_USEDEP}] - dev-python/pygobject:3[${PYTHON_USEDEP}] - dev-python/pyyaml[${PYTHON_USEDEP}]') - x11-libs/gtk+:3[introspection] - x11-libs/pango[introspection] - ) - iio? ( - net-libs/libiio:= - net-libs/libad9361-iio:= - !net-wireless/gr-iio - ) - jack? ( virtual/jack ) - portaudio? ( >=media-libs/portaudio-19_pre ) - qt5? ( - $(python_gen_cond_dep 'dev-python/PyQt5[opengl,${PYTHON_USEDEP}]') - dev-qt/qtcore:5 - dev-qt/qtgui:5 - x11-libs/qwt:6=[qt5(+)] - dev-qt/qtwidgets:5 - ) - soapy? ( - $(python_gen_cond_dep 'net-wireless/soapysdr:=[${PYTHON_USEDEP}]') - ) - sdl? ( >=media-libs/libsdl-1.2.0 ) - trellis? ( dev-python/scipy ) - uhd? ( - $(python_gen_cond_dep '>=net-wireless/uhd-3.9.6:=[${PYTHON_SINGLE_USEDEP}]') - ) - utils? ( - $(python_gen_cond_dep 'dev-python/click[${PYTHON_USEDEP}] - dev-python/click-plugins[${PYTHON_USEDEP}] - dev-python/mako[${PYTHON_USEDEP}] - dev-python/matplotlib[${PYTHON_USEDEP}]') - ) - vocoder? ( - media-sound/gsm - >=media-libs/codec2-0.8.1:= - ) - wavelet? ( - sci-libs/gsl:= - sci-libs/lapack - ) - zeromq? ( >=net-libs/zeromq-2.1.11:= ) -" - -DEPEND="${RDEPEND} - app-text/docbook-xml-dtd:4.2 - $(python_gen_cond_dep 'dev-python/pybind11[${PYTHON_USEDEP}]') - virtual/pkgconfig - doc? ( - >=app-text/doxygen-1.5.7.1 - =dev-util/cppunit-1.9.14 ) - zeromq? ( net-libs/cppzmq ) -" - -PATCHES=( "${FILESDIR}/PR7093.patch" ) - -src_prepare() { - xdg_environment_reset #534582 - - use !alsa && sed -i 's#version.h#version-nonexistent.h#' cmake/Modules/FindALSA.cmake - use !jack && sed -i 's#jack.h#jack-nonexistent.h#' cmake/Modules/FindJACK.cmake - use !oss && sed -i 's#soundcard.h#oss-nonexistent.h#g' cmake/Modules/FindOSS.cmake - use !portaudio && sed -i 's#portaudio.h#portaudio-nonexistent.h#g' cmake/Modules/FindPORTAUDIO.cmake - - cmake_src_prepare -} - -src_configure() { - local mycmakeargs=( - -DENABLE_DEFAULT=OFF - -DENABLE_GNURADIO_RUNTIME=ON - -DENABLE_PYTHON=ON - -DENABLE_GR_BLOCKS=ON - -DENABLE_GR_ANALOG="$(usex analog)" - -DENABLE_GR_AUDIO=ON - -DENABLE_GR_CHANNELS="$(usex channels)" - -DENABLE_GR_CTRLPORT="$(usex ctrlport)" - -DENABLE_GR_DIGITAL="$(usex digital)" - -DENABLE_DOXYGEN="$(usex doc)" - -DENABLE_GR_DTV="$(usex dtv)" - -DENABLE_GR_FEC="$(usex fec)" - -DENABLE_GR_FFT=ON - -DENABLE_GR_FILTER="$(usex filter)" - -DENABLE_GRC="$(usex grc)" - -DENABLE_GR_IIO="$(usex iio)" - -DENABLE_GR_MODTOOL="$(usex modtool)" - -DENABLE_GR_BLOCKTOOL="$(usex modtool)" - -DENABLE_GR_NETWORK="$(usex network)" - -DENABLE_GR_PDU=ON - -DENABLE_PERFORMANCE_COUNTERS="$(usex performance-counters)" - -DENABLE_TESTING="$(usex test)" - -DENABLE_GR_QTGUI="$(usex qt5)" - -DENABLE_GR_SOAPY="$(usex soapy)" - -DENABLE_GR_TRELLIS="$(usex trellis)" - -DENABLE_GR_UHD="$(usex uhd)" - -DENABLE_GR_UTILS="$(usex utils)" - -DENABLE_GR_VIDEO_SDL="$(usex sdl)" - -DENABLE_GR_VOCODER="$(usex vocoder)" - -DENABLE_GR_WAVELET="$(usex wavelet)" - -DENABLE_GR_ZEROMQ="$(usex zeromq)" - -DSYSCONFDIR="${EPREFIX}"/etc - -DPYTHON_EXECUTABLE="${PYTHON}" - -DGR_PYTHON_DIR="$(python_get_sitedir)" - -DGR_PKG_DOC_DIR="${EPREFIX}/usr/share/doc/${PF}" - -DMATHJAX2_ROOT="${EPREFIX}/usr/share/mathjax" - ) - cmake_src_configure -} - -src_install() { - cmake_src_install - - if use examples ; then - dodir /usr/share/doc/${PF}/ - mv "${ED}"/usr/share/${PN}/examples "${ED}"/usr/share/doc/${PF}/ || die - docompress -x /usr/share/doc/${PF}/examples - else - # It seems that the examples are always installed - rm -rf "${ED}"/usr/share/${PN}/examples || die - fi - - if use doc || use examples; then - #this doesn't appear useful - rm -rf "${ED}"/usr/share/doc/${PF}/xml || die - fi - - # Remove duplicated icons, MIME and desktop files and installation script - rm -rf "${ED}"/usr/share/${PN}/grc/freedesktop || die - rm -f "${ED}"/usr/libexec/${PN}/grc_setup_freedesktop || die - - # Install icons, menu items and mime-types for GRC - if use grc ; then - local fd_path="${S}/grc/scripts/freedesktop" - insinto /usr/share/mime/packages - doins "${fd_path}/${PN}-grc.xml" - - domenu "${fd_path}/${PN}-grc.desktop" - for size in 16 24 32 48 64 128 256; do - newicon -s $size "${fd_path}/"grc-icon-$size.png ${PN}-grc.png - done - fi - - python_fix_shebang "${ED}" - # Remove incorrectly byte-compiled Python files and replace - find "${ED}"/usr/lib* -name "*.py[co]" -exec rm {} \; || die - python_optimize -} - -src_test() { - # skip test which needs internet - virtx cmake_src_test -E metainfo_test --output-on-failure -} - -pkg_postinst() { - if use grc ; then - xdg_desktop_database_update - xdg_icon_cache_update - xdg_mimeinfo_database_update - fi -} - -pkg_postrm() { - if use grc ; then - xdg_desktop_database_update - xdg_icon_cache_update - xdg_mimeinfo_database_update - fi -} diff --git a/net-wireless/gnuradio/gnuradio-3.10.9.2-r3.ebuild b/net-wireless/gnuradio/gnuradio-3.10.9.2-r3.ebuild deleted file mode 100644 index 4bfd82679765..000000000000 --- a/net-wireless/gnuradio/gnuradio-3.10.9.2-r3.ebuild +++ /dev/null @@ -1,254 +0,0 @@ -# Copyright 1999-2024 Gentoo Authors -# Distributed under the terms of the GNU General Public License v2 - -EAPI=8 -PYTHON_COMPAT=( python3_{9..12} ) - -CMAKE_BUILD_TYPE="None" -inherit cmake desktop python-single-r1 virtualx xdg-utils - -DESCRIPTION="Toolkit that provides signal processing blocks to implement software radios" -HOMEPAGE="https://www.gnuradio.org/" -LICENSE="GPL-3" -SLOT="0/${PV}" - -if [[ ${PV} =~ "9999" ]]; then - EGIT_REPO_URI="https://github.com/gnuradio/gnuradio.git" - EGIT_BRANCH="maint-3.10" - inherit git-r3 -else - SRC_URI="https://github.com/gnuradio/gnuradio/archive/refs/tags/v${PV}.tar.gz -> ${P}.tar.gz" - KEYWORDS="~amd64 ~arm ~riscv ~x86" -fi - -IUSE="+audio +alsa +analog +digital channels ctrlport doc dtv examples fec +filter grc iio jack modtool network oss performance-counters portaudio +qt5 sdl soapy test trellis uhd vocoder +utils wavelet zeromq" - -RESTRICT="!test? ( test )" - -REQUIRED_USE="${PYTHON_REQUIRED_USE} - audio? ( || ( alsa oss jack portaudio ) ) - alsa? ( audio ) - jack? ( audio ) - oss? ( audio ) - portaudio? ( audio ) - analog? ( filter ) - channels? ( filter analog qt5 ) - digital? ( filter analog ) - dtv? ( filter analog fec ) - modtool? ( utils ) - qt5? ( filter ) - test? ( channels ) - trellis? ( analog digital ) - uhd? ( filter analog ) - vocoder? ( filter analog ) - wavelet? ( analog ) -" - -RDEPEND="${PYTHON_DEPS} - $(python_gen_cond_dep 'dev-libs/boost:=[python,${PYTHON_USEDEP}]') - dev-libs/gmp:= - dev-libs/log4cpp:= - $(python_gen_cond_dep 'dev-python/jsonschema[${PYTHON_USEDEP}]') - dev-libs/spdlog:= - dev-libs/libfmt:= - sci-libs/fftw:3.0= - sci-libs/volk:= - media-libs/libsndfile - sys-libs/libunwind - alsa? ( media-libs/alsa-lib:= ) - ctrlport? ( - $(python_gen_cond_dep 'dev-python/thrift[${PYTHON_USEDEP}]') - ) - fec? ( - sci-libs/gsl:= - dev-python/scipy - ) - filter? ( - dev-python/scipy - $(python_gen_cond_dep 'dev-python/pyqtgraph[${PYTHON_USEDEP}]') - ) - grc? ( - $(python_gen_cond_dep 'dev-python/mako[${PYTHON_USEDEP}] - dev-python/numpy[${PYTHON_USEDEP}] - dev-python/pygobject:3[${PYTHON_USEDEP}] - dev-python/pyyaml[${PYTHON_USEDEP}]') - x11-libs/gtk+:3[introspection] - x11-libs/pango[introspection] - ) - iio? ( - net-libs/libiio:= - net-libs/libad9361-iio:= - ) - jack? ( virtual/jack ) - portaudio? ( >=media-libs/portaudio-19_pre ) - qt5? ( - $(python_gen_cond_dep 'dev-python/PyQt5[opengl,${PYTHON_USEDEP}]') - dev-qt/qtcore:5 - dev-qt/qtgui:5 - x11-libs/qwt:6=[qt5(+)] - dev-qt/qtwidgets:5 - ) - soapy? ( - $(python_gen_cond_dep 'net-wireless/soapysdr:=[${PYTHON_USEDEP}]') - ) - sdl? ( >=media-libs/libsdl-1.2.0 ) - trellis? ( dev-python/scipy ) - uhd? ( - $(python_gen_cond_dep '>=net-wireless/uhd-3.9.6:=[${PYTHON_SINGLE_USEDEP}]') - ) - utils? ( - $(python_gen_cond_dep 'dev-python/click[${PYTHON_USEDEP}] - dev-python/click-plugins[${PYTHON_USEDEP}] - dev-python/mako[${PYTHON_USEDEP}] - dev-python/matplotlib[${PYTHON_USEDEP}]') - ) - vocoder? ( - media-sound/gsm - >=media-libs/codec2-0.8.1:= - ) - wavelet? ( - sci-libs/gsl:= - sci-libs/lapack - ) - zeromq? ( >=net-libs/zeromq-2.1.11:= ) -" - -DEPEND="${RDEPEND} - app-text/docbook-xml-dtd:4.2 - $(python_gen_cond_dep 'dev-python/pybind11[${PYTHON_USEDEP}]') - virtual/pkgconfig - doc? ( - >=app-text/doxygen-1.5.7.1 - =dev-util/cppunit-1.9.14 - dev-python/pyzmq - ) - zeromq? ( net-libs/cppzmq ) -" - -PATCHES=( "${FILESDIR}/PR7093.patch" ) - -src_prepare() { - xdg_environment_reset #534582 - - use !alsa && sed -i 's#version.h#version-nonexistent.h#' cmake/Modules/FindALSA.cmake - use !jack && sed -i 's#jack.h#jack-nonexistent.h#' cmake/Modules/FindJACK.cmake - use !oss && sed -i 's#soundcard.h#oss-nonexistent.h#g' cmake/Modules/FindOSS.cmake - use !portaudio && sed -i 's#portaudio.h#portaudio-nonexistent.h#g' cmake/Modules/FindPORTAUDIO.cmake - - # remove empty test case (see https://github.com/gnuradio/gnuradio/commit/21df528) - # fails with Python 3.12 - rm "${S}"/gr-digital/python/digital/qa_digital.py || die - # fix test failure due to deprecated syntax for numpy - # see https://github.com/gnuradio/gnuradio/commit/a306e11 - sed -i -e "s/np.alltrue/np.all/g" \ - "${S}"/gnuradio-runtime/python/pmt/qa_pmt_to_python.py || die - cmake_src_prepare -} - -src_configure() { - local mycmakeargs=( - -DENABLE_DEFAULT=OFF - -DENABLE_GNURADIO_RUNTIME=ON - -DENABLE_PYTHON=ON - -DENABLE_GR_BLOCKS=ON - -DENABLE_GR_ANALOG="$(usex analog)" - -DENABLE_GR_AUDIO=ON - -DENABLE_GR_CHANNELS="$(usex channels)" - -DENABLE_GR_CTRLPORT="$(usex ctrlport)" - -DENABLE_GR_DIGITAL="$(usex digital)" - -DENABLE_DOXYGEN="$(usex doc)" - -DENABLE_GR_DTV="$(usex dtv)" - -DENABLE_GR_FEC="$(usex fec)" - -DENABLE_GR_FFT=ON - -DENABLE_GR_FILTER="$(usex filter)" - -DENABLE_GRC="$(usex grc)" - -DENABLE_GR_IIO="$(usex iio)" - -DENABLE_GR_MODTOOL="$(usex modtool)" - -DENABLE_GR_BLOCKTOOL="$(usex modtool)" - -DENABLE_GR_NETWORK="$(usex network)" - -DENABLE_GR_PDU=ON - -DENABLE_PERFORMANCE_COUNTERS="$(usex performance-counters)" - -DENABLE_TESTING="$(usex test)" - -DENABLE_GR_QTGUI="$(usex qt5)" - -DENABLE_GR_SOAPY="$(usex soapy)" - -DENABLE_GR_TRELLIS="$(usex trellis)" - -DENABLE_GR_UHD="$(usex uhd)" - -DENABLE_GR_UTILS="$(usex utils)" - -DENABLE_GR_VIDEO_SDL="$(usex sdl)" - -DENABLE_GR_VOCODER="$(usex vocoder)" - -DENABLE_GR_WAVELET="$(usex wavelet)" - -DENABLE_GR_ZEROMQ="$(usex zeromq)" - -DSYSCONFDIR="${EPREFIX}"/etc - -DPYTHON_EXECUTABLE="${PYTHON}" - -DGR_PYTHON_DIR="$(python_get_sitedir)" - -DGR_PKG_DOC_DIR="${EPREFIX}/usr/share/doc/${PF}" - -DMATHJAX2_ROOT="${EPREFIX}/usr/share/mathjax" - ) - cmake_src_configure -} - -src_install() { - cmake_src_install - - if use examples ; then - dodir /usr/share/doc/${PF}/ - mv "${ED}"/usr/share/${PN}/examples "${ED}"/usr/share/doc/${PF}/ || die - docompress -x /usr/share/doc/${PF}/examples - else - # It seems that the examples are always installed - rm -rf "${ED}"/usr/share/${PN}/examples || die - fi - - if use doc || use examples; then - #this doesn't appear useful - rm -rf "${ED}"/usr/share/doc/${PF}/xml || die - fi - - # Remove duplicated icons, MIME and desktop files and installation script - rm -rf "${ED}"/usr/share/${PN}/grc/freedesktop || die - rm -f "${ED}"/usr/libexec/${PN}/grc_setup_freedesktop || die - - # Install icons, menu items and mime-types for GRC - if use grc ; then - local fd_path="${S}/grc/scripts/freedesktop" - insinto /usr/share/mime/packages - doins "${fd_path}/${PN}-grc.xml" - - domenu "${fd_path}/${PN}-grc.desktop" - for size in 16 24 32 48 64 128 256; do - newicon -s $size "${fd_path}/"grc-icon-$size.png ${PN}-grc.png - done - fi - - python_fix_shebang "${ED}" - # Remove incorrectly byte-compiled Python files and replace - find "${ED}"/usr/lib* -name "*.py[co]" -exec rm {} \; || die - python_optimize -} - -src_test() { - # skip test which needs internet - virtx cmake_src_test -E metainfo_test --output-on-failure -} - -pkg_postinst() { - if use grc ; then - xdg_desktop_database_update - xdg_icon_cache_update - xdg_mimeinfo_database_update - fi -} - -pkg_postrm() { - if use grc ; then - xdg_desktop_database_update - xdg_icon_cache_update - xdg_mimeinfo_database_update - fi -} diff --git a/net-wireless/gnuradio/gnuradio-3.10.9.2-r4.ebuild b/net-wireless/gnuradio/gnuradio-3.10.9.2-r4.ebuild new file mode 100644 index 000000000000..8f673a36f670 --- /dev/null +++ b/net-wireless/gnuradio/gnuradio-3.10.9.2-r4.ebuild @@ -0,0 +1,244 @@ +# Copyright 1999-2024 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=8 +PYTHON_COMPAT=( python3_{9..11} ) + +CMAKE_BUILD_TYPE="None" +inherit cmake desktop python-single-r1 virtualx xdg-utils + +DESCRIPTION="Toolkit that provides signal processing blocks to implement software radios" +HOMEPAGE="https://www.gnuradio.org/" +LICENSE="GPL-3" +SLOT="0/${PV}" + +if [[ ${PV} =~ "9999" ]]; then + EGIT_REPO_URI="https://github.com/gnuradio/gnuradio.git" + EGIT_BRANCH="maint-3.10" + inherit git-r3 +else + SRC_URI="https://github.com/gnuradio/gnuradio/archive/refs/tags/v${PV}.tar.gz -> ${P}.tar.gz" + KEYWORDS="amd64 ~arm ~riscv ~x86" +fi + +IUSE="+audio +alsa +analog +digital channels ctrlport doc dtv examples fec +filter grc iio jack modtool network oss performance-counters portaudio +qt5 sdl soapy test trellis uhd vocoder +utils wavelet zeromq" + +RESTRICT="!test? ( test )" + +REQUIRED_USE="${PYTHON_REQUIRED_USE} + audio? ( || ( alsa oss jack portaudio ) ) + alsa? ( audio ) + jack? ( audio ) + oss? ( audio ) + portaudio? ( audio ) + analog? ( filter ) + channels? ( filter analog qt5 ) + digital? ( filter analog ) + dtv? ( filter analog fec ) + modtool? ( utils ) + qt5? ( filter ) + trellis? ( analog digital ) + uhd? ( filter analog ) + vocoder? ( filter analog ) + wavelet? ( analog ) +" + +RDEPEND="${PYTHON_DEPS} + $(python_gen_cond_dep 'dev-libs/boost:=[python,${PYTHON_USEDEP}]') + dev-libs/gmp:= + dev-libs/log4cpp:= + $(python_gen_cond_dep 'dev-python/jsonschema[${PYTHON_USEDEP}]') + dev-libs/spdlog:= + dev-libs/libfmt:= + sci-libs/fftw:3.0= + sci-libs/volk:= + media-libs/libsndfile + sys-libs/libunwind + alsa? ( media-libs/alsa-lib:= ) + ctrlport? ( + $(python_gen_cond_dep 'dev-python/thrift[${PYTHON_USEDEP}]') + ) + fec? ( + sci-libs/gsl:= + dev-python/scipy + ) + filter? ( + dev-python/scipy + $(python_gen_cond_dep 'dev-python/pyqtgraph[${PYTHON_USEDEP}]') + ) + grc? ( + $(python_gen_cond_dep 'dev-python/mako[${PYTHON_USEDEP}] + dev-python/numpy[${PYTHON_USEDEP}] + dev-python/pygobject:3[${PYTHON_USEDEP}] + dev-python/pyyaml[${PYTHON_USEDEP}]') + x11-libs/gtk+:3[introspection] + x11-libs/pango[introspection] + ) + iio? ( + net-libs/libiio:= + net-libs/libad9361-iio:= + !net-wireless/gr-iio + ) + jack? ( virtual/jack ) + portaudio? ( >=media-libs/portaudio-19_pre ) + qt5? ( + $(python_gen_cond_dep 'dev-python/PyQt5[opengl,${PYTHON_USEDEP}]') + dev-qt/qtcore:5 + dev-qt/qtgui:5 + x11-libs/qwt:6=[qt5(+)] + dev-qt/qtwidgets:5 + ) + soapy? ( + net-wireless/soapysdr:=[${PYTHON_SINGLE_USEDEP}] + ) + sdl? ( >=media-libs/libsdl-1.2.0 ) + trellis? ( dev-python/scipy ) + uhd? ( + >=net-wireless/uhd-3.9.6:=[${PYTHON_SINGLE_USEDEP}] + ) + utils? ( + $(python_gen_cond_dep 'dev-python/click[${PYTHON_USEDEP}] + dev-python/click-plugins[${PYTHON_USEDEP}] + dev-python/mako[${PYTHON_USEDEP}] + dev-python/matplotlib[${PYTHON_USEDEP}]') + ) + vocoder? ( + media-sound/gsm + >=media-libs/codec2-0.8.1:= + ) + wavelet? ( + sci-libs/gsl:= + sci-libs/lapack + ) + zeromq? ( >=net-libs/zeromq-2.1.11:= ) +" + +DEPEND="${RDEPEND} + app-text/docbook-xml-dtd:4.2 + $(python_gen_cond_dep 'dev-python/pybind11[${PYTHON_USEDEP}]') + virtual/pkgconfig + doc? ( + >=app-text/doxygen-1.5.7.1 + =dev-util/cppunit-1.9.14 ) + zeromq? ( net-libs/cppzmq ) +" + +PATCHES=( "${FILESDIR}/PR7093.patch" ) + +src_prepare() { + xdg_environment_reset #534582 + + use !alsa && sed -i 's#version.h#version-nonexistent.h#' cmake/Modules/FindALSA.cmake + use !jack && sed -i 's#jack.h#jack-nonexistent.h#' cmake/Modules/FindJACK.cmake + use !oss && sed -i 's#soundcard.h#oss-nonexistent.h#g' cmake/Modules/FindOSS.cmake + use !portaudio && sed -i 's#portaudio.h#portaudio-nonexistent.h#g' cmake/Modules/FindPORTAUDIO.cmake + + cmake_src_prepare +} + +src_configure() { + local mycmakeargs=( + -DENABLE_DEFAULT=OFF + -DENABLE_GNURADIO_RUNTIME=ON + -DENABLE_PYTHON=ON + -DENABLE_GR_BLOCKS=ON + -DENABLE_GR_ANALOG="$(usex analog)" + -DENABLE_GR_AUDIO=ON + -DENABLE_GR_CHANNELS="$(usex channels)" + -DENABLE_GR_CTRLPORT="$(usex ctrlport)" + -DENABLE_GR_DIGITAL="$(usex digital)" + -DENABLE_DOXYGEN="$(usex doc)" + -DENABLE_GR_DTV="$(usex dtv)" + -DENABLE_GR_FEC="$(usex fec)" + -DENABLE_GR_FFT=ON + -DENABLE_GR_FILTER="$(usex filter)" + -DENABLE_GRC="$(usex grc)" + -DENABLE_GR_IIO="$(usex iio)" + -DENABLE_GR_MODTOOL="$(usex modtool)" + -DENABLE_GR_BLOCKTOOL="$(usex modtool)" + -DENABLE_GR_NETWORK="$(usex network)" + -DENABLE_GR_PDU=ON + -DENABLE_PERFORMANCE_COUNTERS="$(usex performance-counters)" + -DENABLE_TESTING="$(usex test)" + -DENABLE_GR_QTGUI="$(usex qt5)" + -DENABLE_GR_SOAPY="$(usex soapy)" + -DENABLE_GR_TRELLIS="$(usex trellis)" + -DENABLE_GR_UHD="$(usex uhd)" + -DENABLE_GR_UTILS="$(usex utils)" + -DENABLE_GR_VIDEO_SDL="$(usex sdl)" + -DENABLE_GR_VOCODER="$(usex vocoder)" + -DENABLE_GR_WAVELET="$(usex wavelet)" + -DENABLE_GR_ZEROMQ="$(usex zeromq)" + -DSYSCONFDIR="${EPREFIX}"/etc + -DPYTHON_EXECUTABLE="${PYTHON}" + -DGR_PYTHON_DIR="$(python_get_sitedir)" + -DGR_PKG_DOC_DIR="${EPREFIX}/usr/share/doc/${PF}" + -DMATHJAX2_ROOT="${EPREFIX}/usr/share/mathjax" + ) + cmake_src_configure +} + +src_install() { + cmake_src_install + + if use examples ; then + dodir /usr/share/doc/${PF}/ + mv "${ED}"/usr/share/${PN}/examples "${ED}"/usr/share/doc/${PF}/ || die + docompress -x /usr/share/doc/${PF}/examples + else + # It seems that the examples are always installed + rm -rf "${ED}"/usr/share/${PN}/examples || die + fi + + if use doc || use examples; then + #this doesn't appear useful + rm -rf "${ED}"/usr/share/doc/${PF}/xml || die + fi + + # Remove duplicated icons, MIME and desktop files and installation script + rm -rf "${ED}"/usr/share/${PN}/grc/freedesktop || die + rm -f "${ED}"/usr/libexec/${PN}/grc_setup_freedesktop || die + + # Install icons, menu items and mime-types for GRC + if use grc ; then + local fd_path="${S}/grc/scripts/freedesktop" + insinto /usr/share/mime/packages + doins "${fd_path}/${PN}-grc.xml" + + domenu "${fd_path}/${PN}-grc.desktop" + for size in 16 24 32 48 64 128 256; do + newicon -s $size "${fd_path}/"grc-icon-$size.png ${PN}-grc.png + done + fi + + python_fix_shebang "${ED}" + # Remove incorrectly byte-compiled Python files and replace + find "${ED}"/usr/lib* -name "*.py[co]" -exec rm {} \; || die + python_optimize +} + +src_test() { + # skip test which needs internet + virtx cmake_src_test -E metainfo_test --output-on-failure +} + +pkg_postinst() { + if use grc ; then + xdg_desktop_database_update + xdg_icon_cache_update + xdg_mimeinfo_database_update + fi +} + +pkg_postrm() { + if use grc ; then + xdg_desktop_database_update + xdg_icon_cache_update + xdg_mimeinfo_database_update + fi +} diff --git a/net-wireless/gnuradio/gnuradio-3.10.9.2-r5.ebuild b/net-wireless/gnuradio/gnuradio-3.10.9.2-r5.ebuild new file mode 100644 index 000000000000..6136c45c0420 --- /dev/null +++ b/net-wireless/gnuradio/gnuradio-3.10.9.2-r5.ebuild @@ -0,0 +1,254 @@ +# Copyright 1999-2024 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=8 +PYTHON_COMPAT=( python3_{9..12} ) + +CMAKE_BUILD_TYPE="None" +inherit cmake desktop python-single-r1 virtualx xdg-utils + +DESCRIPTION="Toolkit that provides signal processing blocks to implement software radios" +HOMEPAGE="https://www.gnuradio.org/" +LICENSE="GPL-3" +SLOT="0/${PV}" + +if [[ ${PV} =~ "9999" ]]; then + EGIT_REPO_URI="https://github.com/gnuradio/gnuradio.git" + EGIT_BRANCH="maint-3.10" + inherit git-r3 +else + SRC_URI="https://github.com/gnuradio/gnuradio/archive/refs/tags/v${PV}.tar.gz -> ${P}.tar.gz" + KEYWORDS="~amd64 ~arm ~riscv ~x86" +fi + +IUSE="+audio +alsa +analog +digital channels ctrlport doc dtv examples fec +filter grc iio jack modtool network oss performance-counters portaudio +qt5 sdl soapy test trellis uhd vocoder +utils wavelet zeromq" + +RESTRICT="!test? ( test )" + +REQUIRED_USE="${PYTHON_REQUIRED_USE} + audio? ( || ( alsa oss jack portaudio ) ) + alsa? ( audio ) + jack? ( audio ) + oss? ( audio ) + portaudio? ( audio ) + analog? ( filter ) + channels? ( filter analog qt5 ) + digital? ( filter analog ) + dtv? ( filter analog fec ) + modtool? ( utils ) + qt5? ( filter ) + test? ( channels ) + trellis? ( analog digital ) + uhd? ( filter analog ) + vocoder? ( filter analog ) + wavelet? ( analog ) +" + +RDEPEND="${PYTHON_DEPS} + $(python_gen_cond_dep 'dev-libs/boost:=[python,${PYTHON_USEDEP}]') + dev-libs/gmp:= + dev-libs/log4cpp:= + $(python_gen_cond_dep 'dev-python/jsonschema[${PYTHON_USEDEP}]') + dev-libs/spdlog:= + dev-libs/libfmt:= + sci-libs/fftw:3.0= + sci-libs/volk:= + media-libs/libsndfile + sys-libs/libunwind + alsa? ( media-libs/alsa-lib:= ) + ctrlport? ( + $(python_gen_cond_dep 'dev-python/thrift[${PYTHON_USEDEP}]') + ) + fec? ( + sci-libs/gsl:= + dev-python/scipy + ) + filter? ( + dev-python/scipy + $(python_gen_cond_dep 'dev-python/pyqtgraph[${PYTHON_USEDEP}]') + ) + grc? ( + $(python_gen_cond_dep 'dev-python/mako[${PYTHON_USEDEP}] + dev-python/numpy[${PYTHON_USEDEP}] + dev-python/pygobject:3[${PYTHON_USEDEP}] + dev-python/pyyaml[${PYTHON_USEDEP}]') + x11-libs/gtk+:3[introspection] + x11-libs/pango[introspection] + ) + iio? ( + net-libs/libiio:= + net-libs/libad9361-iio:= + ) + jack? ( virtual/jack ) + portaudio? ( >=media-libs/portaudio-19_pre ) + qt5? ( + $(python_gen_cond_dep 'dev-python/PyQt5[opengl,${PYTHON_USEDEP}]') + dev-qt/qtcore:5 + dev-qt/qtgui:5 + x11-libs/qwt:6=[qt5(+)] + dev-qt/qtwidgets:5 + ) + soapy? ( + net-wireless/soapysdr:=[${PYTHON_SINGLE_USEDEP}] + ) + sdl? ( >=media-libs/libsdl-1.2.0 ) + trellis? ( dev-python/scipy ) + uhd? ( + >=net-wireless/uhd-3.9.6:=[${PYTHON_SINGLE_USEDEP}] + ) + utils? ( + $(python_gen_cond_dep 'dev-python/click[${PYTHON_USEDEP}] + dev-python/click-plugins[${PYTHON_USEDEP}] + dev-python/mako[${PYTHON_USEDEP}] + dev-python/matplotlib[${PYTHON_USEDEP}]') + ) + vocoder? ( + media-sound/gsm + >=media-libs/codec2-0.8.1:= + ) + wavelet? ( + sci-libs/gsl:= + sci-libs/lapack + ) + zeromq? ( >=net-libs/zeromq-2.1.11:= ) +" + +DEPEND="${RDEPEND} + app-text/docbook-xml-dtd:4.2 + $(python_gen_cond_dep 'dev-python/pybind11[${PYTHON_USEDEP}]') + virtual/pkgconfig + doc? ( + >=app-text/doxygen-1.5.7.1 + =dev-util/cppunit-1.9.14 + dev-python/pyzmq + ) + zeromq? ( net-libs/cppzmq ) +" + +PATCHES=( "${FILESDIR}/PR7093.patch" ) + +src_prepare() { + xdg_environment_reset #534582 + + use !alsa && sed -i 's#version.h#version-nonexistent.h#' cmake/Modules/FindALSA.cmake + use !jack && sed -i 's#jack.h#jack-nonexistent.h#' cmake/Modules/FindJACK.cmake + use !oss && sed -i 's#soundcard.h#oss-nonexistent.h#g' cmake/Modules/FindOSS.cmake + use !portaudio && sed -i 's#portaudio.h#portaudio-nonexistent.h#g' cmake/Modules/FindPORTAUDIO.cmake + + # remove empty test case (see https://github.com/gnuradio/gnuradio/commit/21df528) + # fails with Python 3.12 + rm "${S}"/gr-digital/python/digital/qa_digital.py || die + # fix test failure due to deprecated syntax for numpy + # see https://github.com/gnuradio/gnuradio/commit/a306e11 + sed -i -e "s/np.alltrue/np.all/g" \ + "${S}"/gnuradio-runtime/python/pmt/qa_pmt_to_python.py || die + cmake_src_prepare +} + +src_configure() { + local mycmakeargs=( + -DENABLE_DEFAULT=OFF + -DENABLE_GNURADIO_RUNTIME=ON + -DENABLE_PYTHON=ON + -DENABLE_GR_BLOCKS=ON + -DENABLE_GR_ANALOG="$(usex analog)" + -DENABLE_GR_AUDIO=ON + -DENABLE_GR_CHANNELS="$(usex channels)" + -DENABLE_GR_CTRLPORT="$(usex ctrlport)" + -DENABLE_GR_DIGITAL="$(usex digital)" + -DENABLE_DOXYGEN="$(usex doc)" + -DENABLE_GR_DTV="$(usex dtv)" + -DENABLE_GR_FEC="$(usex fec)" + -DENABLE_GR_FFT=ON + -DENABLE_GR_FILTER="$(usex filter)" + -DENABLE_GRC="$(usex grc)" + -DENABLE_GR_IIO="$(usex iio)" + -DENABLE_GR_MODTOOL="$(usex modtool)" + -DENABLE_GR_BLOCKTOOL="$(usex modtool)" + -DENABLE_GR_NETWORK="$(usex network)" + -DENABLE_GR_PDU=ON + -DENABLE_PERFORMANCE_COUNTERS="$(usex performance-counters)" + -DENABLE_TESTING="$(usex test)" + -DENABLE_GR_QTGUI="$(usex qt5)" + -DENABLE_GR_SOAPY="$(usex soapy)" + -DENABLE_GR_TRELLIS="$(usex trellis)" + -DENABLE_GR_UHD="$(usex uhd)" + -DENABLE_GR_UTILS="$(usex utils)" + -DENABLE_GR_VIDEO_SDL="$(usex sdl)" + -DENABLE_GR_VOCODER="$(usex vocoder)" + -DENABLE_GR_WAVELET="$(usex wavelet)" + -DENABLE_GR_ZEROMQ="$(usex zeromq)" + -DSYSCONFDIR="${EPREFIX}"/etc + -DPYTHON_EXECUTABLE="${PYTHON}" + -DGR_PYTHON_DIR="$(python_get_sitedir)" + -DGR_PKG_DOC_DIR="${EPREFIX}/usr/share/doc/${PF}" + -DMATHJAX2_ROOT="${EPREFIX}/usr/share/mathjax" + ) + cmake_src_configure +} + +src_install() { + cmake_src_install + + if use examples ; then + dodir /usr/share/doc/${PF}/ + mv "${ED}"/usr/share/${PN}/examples "${ED}"/usr/share/doc/${PF}/ || die + docompress -x /usr/share/doc/${PF}/examples + else + # It seems that the examples are always installed + rm -rf "${ED}"/usr/share/${PN}/examples || die + fi + + if use doc || use examples; then + #this doesn't appear useful + rm -rf "${ED}"/usr/share/doc/${PF}/xml || die + fi + + # Remove duplicated icons, MIME and desktop files and installation script + rm -rf "${ED}"/usr/share/${PN}/grc/freedesktop || die + rm -f "${ED}"/usr/libexec/${PN}/grc_setup_freedesktop || die + + # Install icons, menu items and mime-types for GRC + if use grc ; then + local fd_path="${S}/grc/scripts/freedesktop" + insinto /usr/share/mime/packages + doins "${fd_path}/${PN}-grc.xml" + + domenu "${fd_path}/${PN}-grc.desktop" + for size in 16 24 32 48 64 128 256; do + newicon -s $size "${fd_path}/"grc-icon-$size.png ${PN}-grc.png + done + fi + + python_fix_shebang "${ED}" + # Remove incorrectly byte-compiled Python files and replace + find "${ED}"/usr/lib* -name "*.py[co]" -exec rm {} \; || die + python_optimize +} + +src_test() { + # skip test which needs internet + virtx cmake_src_test -E metainfo_test --output-on-failure +} + +pkg_postinst() { + if use grc ; then + xdg_desktop_database_update + xdg_icon_cache_update + xdg_mimeinfo_database_update + fi +} + +pkg_postrm() { + if use grc ; then + xdg_desktop_database_update + xdg_icon_cache_update + xdg_mimeinfo_database_update + fi +} diff --git a/net-wireless/gnuradio/gnuradio-9999.ebuild b/net-wireless/gnuradio/gnuradio-9999.ebuild index 04191082fbfe..ce5367b7d75a 100644 --- a/net-wireless/gnuradio/gnuradio-9999.ebuild +++ b/net-wireless/gnuradio/gnuradio-9999.ebuild @@ -89,12 +89,12 @@ RDEPEND="${PYTHON_DEPS} dev-qt/qtwidgets:5 ) soapy? ( - $(python_gen_cond_dep 'net-wireless/soapysdr:=[${PYTHON_USEDEP}]') + net-wireless/soapysdr:=[${PYTHON_SINGLE_USEDEP}] ) sdl? ( >=media-libs/libsdl-1.2.0 ) trellis? ( dev-python/scipy ) uhd? ( - $(python_gen_cond_dep '>=net-wireless/uhd-3.9.6:=[${PYTHON_SINGLE_USEDEP}]') + >=net-wireless/uhd-3.9.6:=[${PYTHON_SINGLE_USEDEP}] ) utils? ( $(python_gen_cond_dep 'dev-python/click[${PYTHON_USEDEP}] diff --git a/net-wireless/soapysdr/Manifest b/net-wireless/soapysdr/Manifest index 3ae4f0f67631..84e5bb26d225 100644 --- a/net-wireless/soapysdr/Manifest +++ b/net-wireless/soapysdr/Manifest @@ -1,4 +1,5 @@ +AUX soapysdr-0.8.1-python3.12-distutils.patch 3044 BLAKE2B 04a24ef649a5a01c60e556b4ef51a16d3b1af4be28c0fe3dcad1fd040c57d450e0db07f7a614f6b61980700cff3d18cd129f8aecbf26cf4a5467ace085e613b5 SHA512 2ad79171bfad8358ecc170695199d2d23cefa54bb24e259b2ef6f9f7d6a3baaf4461495c7a0416318e7a2d918dee11949da1efa1d9e19bc550cb191b20a6fbd1 DIST soapysdr-0.8.1.tar.gz 131252 BLAKE2B 4dc97b683063a1bc52717f15b12a2471a338cebc30dccfd58723792119a496f5170b67eeda1ff4dbcd34811948f70b9f0e5df11b5b1738b46839ca7377fe557b SHA512 50c8f1652bf9ca09215f9c4115175427ca7b5338add7591e31ca0e627093c94b73e7cf7f84fa71ff419cc010d3c1263931506c728bbaa00413a7915d56a87787 -EBUILD soapysdr-0.8.1.ebuild 1322 BLAKE2B 4c24133d544e06b804ee2a21d1ee9989ac7ff4e9dc2a3fb2deda2397ae7226fcd19c3c0b3059ecf3035ba2b07d13cbc870de0fd347717c2aead9057b5c5f2992 SHA512 7b30c925eb9db4ac8d351b409a71ba2c0dd1c2f26f1e15b27b6aaee1ad66d6381fb34263a971bb665d8e25f1acaaf7e5260cd734695b3ce15d7aee6bde9aa662 -EBUILD soapysdr-9999.ebuild 1323 BLAKE2B d0a6fec4e8a59b695c2e1475342344281db2cd0f41edc39ae61dfdc3fb8d2b69c0869f7d1a89997e6541aa56daefa06bebe17de8c46867e12bfcfcf80c36aeaf SHA512 9865b227d5a1c99db30a5b2dcb48a2319a6f88be8c04da7700ab96984a240f972a8b7d300ec320bba7fd65170a6534f9c978199eb60a73a826b76745fd6cd220 +EBUILD soapysdr-0.8.1-r1.ebuild 1431 BLAKE2B ceb72f09493caaf99dcabcc7b266ebbaa0841dfcfea133ef444ab9570024541aa6183830d7f38c0c382da721ed73525c6cd44a3d401d3bbc1a66613d58cb00be SHA512 bee2613088af90aa245b70f7bdc34b480d5061841d25f2ea07457675aff0275b7271b09e0e427347c2f841af8436b259a162eb4b903693fae339e40bc5bf81da +EBUILD soapysdr-9999.ebuild 1271 BLAKE2B 4ae90ed7a26d63002744206d815aa56468df83ad2b3e56d4e0de5e719140debd68b648e4f20357be6cfb430413da1363b98e6f9f6b3738a963347871e7a25bfd SHA512 b88f43511c997ab1d4e7e9ab1f5873aa74145d5737f14fddbb1097753ffeb9431cfb83c36136a79015cdf1bd2c8cf0cec33c53261076417756c77085915e1800 MISC metadata.xml 776 BLAKE2B e875dbd46723cba190cfe767f7c91518bbd73269fdef3bc64a67b1b48145f2eeb1ba6acbf258b4e1e578ad7f77225d37662ab70cb83b345c1acecbae4a113931 SHA512 4f92020b725b79bcb55b0e4fcb2f921d01fc582e53b56c333b83a3f04c24630200b7d72d49ee9d3aaa12c887c861744a660c4f0240b3c2d0ade6f29830b74de0 diff --git a/net-wireless/soapysdr/files/soapysdr-0.8.1-python3.12-distutils.patch b/net-wireless/soapysdr/files/soapysdr-0.8.1-python3.12-distutils.patch new file mode 100644 index 000000000000..877bc9c15ba7 --- /dev/null +++ b/net-wireless/soapysdr/files/soapysdr-0.8.1-python3.12-distutils.patch @@ -0,0 +1,74 @@ +https://github.com/pothosware/SoapySDR/commit/1ee5670803f89b21d84a6a84acbb578da051c119 + +From 1ee5670803f89b21d84a6a84acbb578da051c119 Mon Sep 17 00:00:00 2001 +From: Ryan Volz +Date: Tue, 26 Sep 2023 14:56:59 -0400 +Subject: [PATCH] Remove deprecated use of distutils, fix for Python 3.12+ + +This switches to using sysconfig from distutils, which is necessary for +Python 3.12+ since distutils is deprecated and has been removed. + +It is necessary to specify the install scheme when a prefix other than +the Python default is used so that changes to the default scheme made by +distributions (e.g. Debian, Fedora) do not produce an incorrect Python +installation directory. For example, Debian patches the default scheme +to prepend the path with '/local', but if a user specifies a prefix of +'/usr/local', then the path using the default scheme would be +'/usr/local/local/...' with a duplicated 'local' directory. Specifying +an unmodified install scheme fixes that. + +Signed-off-by: Ryan Volz +--- + python/get_python_lib.py | 36 ++++++++++++++++++++++++----------- + 1 file changed, 25 insertions(+), 11 deletions(-) + +diff --git a/python/get_python_lib.py b/python/get_python_lib.py +index 0c716529..574f0b60 100644 +--- a/python/get_python_lib.py ++++ b/python/get_python_lib.py +@@ -1,19 +1,33 @@ + import os ++import pathlib + import sys +-import site +-from distutils.sysconfig import get_python_lib ++import sysconfig + + if __name__ == '__main__': +- prefix = sys.argv[1] ++ prefix = pathlib.Path(sys.argv[1]).resolve() + +- #ask distutils where to install the python module +- install_dir = get_python_lib(plat_specific=True, prefix=prefix) ++ # default install dir for the running Python interpreter ++ default_install_dir = pathlib.Path(sysconfig.get_path('platlib')).resolve() + +- #use sites when the prefix is already recognized ++ # if default falls under the desired prefix, we're done + try: +- paths = [p for p in site.getsitepackages() if p.startswith(prefix)] +- if len(paths) == 1: install_dir = paths[0] +- except AttributeError: pass ++ relative_install_dir = default_install_dir.relative_to(prefix) ++ except ValueError: ++ # get install dir for the specified prefix ++ # can't use the default scheme because distributions modify it ++ # newer Python versions have 'venv' scheme, use for all OSs. ++ if 'venv' in sysconfig.get_scheme_names(): ++ scheme = 'venv' ++ elif os.name == 'nt': ++ scheme = 'nt' ++ else: ++ scheme = 'posix_prefix' ++ prefix_install_dir = pathlib.Path(sysconfig.get_path( ++ 'platlib', ++ scheme=scheme, ++ vars={'base': prefix, 'platbase': prefix}, ++ )).resolve() ++ relative_install_dir = prefix_install_dir.relative_to(prefix) + +- #strip the prefix to return a relative path +- print(os.path.relpath(install_dir, prefix)) ++ # want a relative path for use in the build system ++ print(relative_install_dir) + diff --git a/net-wireless/soapysdr/soapysdr-0.8.1-r1.ebuild b/net-wireless/soapysdr/soapysdr-0.8.1-r1.ebuild new file mode 100644 index 000000000000..f4f69287124e --- /dev/null +++ b/net-wireless/soapysdr/soapysdr-0.8.1-r1.ebuild @@ -0,0 +1,60 @@ +# Copyright 1999-2024 Gentoo Authors +# Distributed under the terms of the GNU General Public License v2 + +EAPI=8 + +PYTHON_COMPAT=( python3_{10..12} ) +inherit cmake python-single-r1 + +DESCRIPTION="vendor and platform neutral SDR support library" +HOMEPAGE="https://github.com/pothosware/SoapySDR" + +if [ "${PV}" = "9999" ]; then + EGIT_REPO_URI="https://github.com/pothosware/SoapySDR.git" + EGIT_CLONE_TYPE="shallow" + inherit git-r3 +else + KEYWORDS="amd64 ~arm ~riscv ~x86" + SRC_URI="https://github.com/pothosware/SoapySDR/archive/soapy-sdr-${PV}.tar.gz -> ${P}.tar.gz" + S="${WORKDIR}"/SoapySDR-soapy-sdr-"${PV}" +fi + +LICENSE="Boost-1.0" +SLOT="0/${PV}" +IUSE="bladerf hackrf python rtlsdr plutosdr uhd" +REQUIRED_USE="python? ( ${PYTHON_REQUIRED_USE} )" + +RDEPEND="python? ( ${PYTHON_DEPS} )" +DEPEND="${RDEPEND}" +BDEPEND="python? ( dev-lang/swig:0 )" +PDEPEND=" + bladerf? ( net-wireless/soapybladerf ) + hackrf? ( net-wireless/soapyhackrf ) + rtlsdr? ( net-wireless/soapyrtlsdr ) + plutosdr? ( net-wireless/soapyplutosdr ) + uhd? ( net-wireless/soapyuhd ) +" + +PATCHES=( + "${FILESDIR}"/soapysdr-0.8.1-python3.12-distutils.patch +) + +pkg_setup() { + use python && python-single-r1_pkg_setup +} + +src_configure() { + local mycmakeargs=( + -DENABLE_PYTHON=$(usex python) + -DENABLE_PYTHON3=$(usex python) + -DBUILD_PYTHON3=$(usex python) + -DUSE_PYTHON_CONFIG=ON + ) + + cmake_src_configure +} + +src_install() { + cmake_src_install + use python && python_optimize +} diff --git a/net-wireless/soapysdr/soapysdr-0.8.1.ebuild b/net-wireless/soapysdr/soapysdr-0.8.1.ebuild deleted file mode 100644 index fae8341b7d65..000000000000 --- a/net-wireless/soapysdr/soapysdr-0.8.1.ebuild +++ /dev/null @@ -1,60 +0,0 @@ -# Copyright 1999-2024 Gentoo Authors -# Distributed under the terms of the GNU General Public License v2 - -EAPI=8 - -PYTHON_COMPAT=( python3_{9..12} ) - -inherit cmake python-r1 - -DESCRIPTION="vendor and platform neutral SDR support library" -HOMEPAGE="https://github.com/pothosware/SoapySDR" - -if [ "${PV}" = "9999" ]; then - EGIT_REPO_URI="https://github.com/pothosware/SoapySDR.git" - EGIT_CLONE_TYPE="shallow" - inherit git-r3 -else - KEYWORDS="amd64 ~arm ~riscv ~x86" - SRC_URI="https://github.com/pothosware/SoapySDR/archive/soapy-sdr-${PV}.tar.gz -> ${P}.tar.gz" - S="${WORKDIR}"/SoapySDR-soapy-sdr-"${PV}" -fi - -LICENSE="Boost-1.0" -SLOT="0/${PV}" - -IUSE="bladerf hackrf python rtlsdr plutosdr uhd" -REQUIRED_USE="python? ( ${PYTHON_REQUIRED_USE} )" - -RDEPEND="python? ( ${PYTHON_DEPS} )" -DEPEND="${RDEPEND} - python? ( dev-lang/swig:0 ) -" -PDEPEND="bladerf? ( net-wireless/soapybladerf ) - hackrf? ( net-wireless/soapyhackrf ) - rtlsdr? ( net-wireless/soapyrtlsdr ) - plutosdr? ( net-wireless/soapyplutosdr ) - uhd? ( net-wireless/soapyuhd )" - -src_configure() { - configuration() { - mycmakeargs+=( - -DENABLE_PYTHON=ON - -DBUILD_PYTHON3=ON - ) - } - - if use python; then - python_foreach_impl configuration - fi - - cmake_src_configure -} - -src_install() { - cmake_src_install - - if use python; then - python_foreach_impl python_optimize - fi -} diff --git a/net-wireless/soapysdr/soapysdr-9999.ebuild b/net-wireless/soapysdr/soapysdr-9999.ebuild index fa9402a85678..ad161a57c0f5 100644 --- a/net-wireless/soapysdr/soapysdr-9999.ebuild +++ b/net-wireless/soapysdr/soapysdr-9999.ebuild @@ -1,11 +1,10 @@ -# Copyright 1999-2023 Gentoo Authors +# Copyright 1999-2024 Gentoo Authors # Distributed under the terms of the GNU General Public License v2 EAPI=8 -PYTHON_COMPAT=( python3_{9..12} ) - -inherit cmake python-r1 +PYTHON_COMPAT=( python3_{10..12} ) +inherit cmake python-single-r1 DESCRIPTION="vendor and platform neutral SDR support library" HOMEPAGE="https://github.com/pothosware/SoapySDR" @@ -22,39 +21,33 @@ fi LICENSE="Boost-1.0" SLOT="0/${PV}" - IUSE="bladerf hackrf python rtlsdr plutosdr uhd" REQUIRED_USE="python? ( ${PYTHON_REQUIRED_USE} )" RDEPEND="python? ( ${PYTHON_DEPS} )" -DEPEND="${RDEPEND} - python? ( dev-lang/swig:0 ) +DEPEND="${RDEPEND}" +BDEPEND="python? ( dev-lang/swig:0 )" +PDEPEND=" + bladerf? ( net-wireless/soapybladerf ) + hackrf? ( net-wireless/soapyhackrf ) + rtlsdr? ( net-wireless/soapyrtlsdr ) + plutosdr? ( net-wireless/soapyplutosdr ) + uhd? ( net-wireless/soapyuhd ) " -PDEPEND="bladerf? ( net-wireless/soapybladerf ) - hackrf? ( net-wireless/soapyhackrf ) - rtlsdr? ( net-wireless/soapyrtlsdr ) - plutosdr? ( net-wireless/soapyplutosdr ) - uhd? ( net-wireless/soapyuhd )" -src_configure() { - configuration() { - mycmakeargs+=( - -DENABLE_PYTHON=ON - -DBUILD_PYTHON3=ON - ) - } +pkg_setup() { + use python && python-single-r1_pkg_setup +} - if use python; then - python_foreach_impl configuration - fi +src_configure() { + local mycmakeargs=( + -DENABLE_PYTHON3=$(usex python) + ) cmake_src_configure } src_install() { cmake_src_install - - if use python; then - python_foreach_impl python_optimize - fi + use python && python_optimize } -- cgit v1.2.3